Érzékelők és beavatkozók I.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Érzékelők és beavatkozók I."

Átírás

1 Érzékelők és beavatkozók I. Mikrovezérlők, mikroszámítógépek (hardver) c. egyetemi tanár - 1 -

2 Mikrovezérlők (Microcontrollers) Teljes számítógép architektúra megvalósítása egy áramköri lapkán Egyszerű 8-16-bites CPU (RISC) Belső RAM és programmemória PROGRAM- MEMÓRIA CPU ADAT- MEMÓRIA P Harvard architektúra Belső perifériakészlet: időzítők, PWM parallel digitális I/O: port-ok soros interfészek: SPI, I2C, UART hálózat: CAN, USB, Ethernet Analóg I: AD, komparátor - 2 -

3 Mikroszámítógépek Microcomputers Teljes számítógép architektúra megvalósítása egy áramköri lapkán CPU PROGRAMés ADAT- MEMÓRIA Egyszerű 32-bites CPU (RISC) Kiegészítő külső RAM és flash memória Direkt memória hozzáférés (DMA) Lebegőpontos műveleti támogatás IDE, PCI, memóriakártya interfészek Kóderek/dekóderek: MP3, AES titkosítás Digitális jelfeldolgozási műveletek (DSP) P Neumann architektúra Példák: ARM PowerPC P DSP (TI, AD) - 3 -

4 Rendszermegvalósítás Hagyományos rendszerkialakítás SoM System on Module SoC System on Chip - 4 -

5 Típusok: Mikrovezérlők Alkalmazási jellemzők: Beágyazott rendszerek Vezérlési, irányítási feladatok Egyszerű számítási algoritmusok Rögzített program 8-bites klasszikus mikrovezérlők bites újabb fejlesztések - 5 -

6 Példák: 8-bites mikrovezérlők Intel 8051 az első ipari mikrovezérlő 51-es architektúra Atmel AVR 8-bit Microchip PIC Freescale (Motorola) S08 Texas Instruments MPS430 NXP (Philips), STMicroelectronics, Fujitsu, NEC, - 6 -

7 Példa: Atmel ATmega128 Fő jellemzők: Nagy hatásfokú, kis fogyasztású 8-bites mikrovezérlő RISC (Reduced Instruction Set Computer) architektúra (AVR) 16 MHz órajel frekvencia mellett 16 MIPS teljesítmény Harvard architektúra, 128 kb flash programmemória, 4 kb gyors RAM memória, 4 kb nem törlődő EEPROM memória 53 programozható I/O vonal Gazdag belső periféria készlet ISP (In-System Programming) programozás JTAG (IEEE 1149 szabvány szerint) teszt-interfész Alacsony tápfeszültség (L típus) AT90CAN128 változat: CAN hálózati támogatás 64-kivezetésű TQFP vagy MLF tokozás - 7 -

8 Példa: Atmel ATmega128 TQFP-64 MLF

9 Példa: Atmel ATmega PA0/AD0 50 PA1/AD1 49 PA2/AD2 48 PA3/AD3 47 PA4/AD4 46 PA5/AD5 45 PA6/AD6 44 PA7/AD7 35 PC0/A8 36 PC1/A9 37 PC2/A10 38 PC3/A11 39 PC4/A12 40 PC5/A13 41 PC6/A14 42 PC7/A PF0/ADC0 PF1/ADC1 PF2/ADC2 PF3/ADC3 PF4/ADC4/TCK PF5/ADC5/TMS PF6/ADC6/TDO PF7/ADC7/TDI PG0/WR PG1/RD PG2/ALE 64 AVCC PG3/TOSC2 18 ATMEL ATmega128(L) PG4/TOSC VCC GND XTAL2 23 AREF PB0/SS PB1/SCK PB2/MOSI PB3/MISO PB4/OC0 PB5/OC1A PB6/OC1B PB7/OC1C PD0/SCL/INT0 PD1/SDA/INT1 PD2/RXD1/INT2 PD3/TXD1/INT3 PD4/ICP1 PD5/XCK1 PD6/T1 PD7/T2 PE0/RXD0/PDI PE1/TXD0/PDO PE2/XCK0/AIN0 PE3/OC3A/AIN1 PE4/OC3B/INT4 PE5/OC3C/INT5 PE6/T3/INT6 PE7/ICP3/INT7 XTAL PEN RESET - 9 -

10 Utasításkészlet RISC (Reduced Instruction Set Computer): Relatíve kevés számú utasítás 133 Egyszerű (statikus) utasítások Az utasítások döntő része 1 órajel ciklus időtartamú Bővítés: Beépített szorzó 2 órajel ciklus végrehajtási idővel 1 MIPS / MHz számítási teljesítmény 1 MIPS = 1 millió művelet / sec

11 Órajel A mikrovezérlő szinkron szekvenciális hálózat: órajel ütemezi szimmetrikus négyszögjel Tipikus órajel frekvenciák: MHz Órajel források:belső óragenerátor, külső kvarc,rezonátor, óragenerátor

12 AVR architektúra Harvard architektúra ALU, 32 belső általános célú regiszter, programmemória (flash) Adat-sín (busz) RAM adat-memória EEPROM nem törlődő adatmemória Speciális regiszterek Perifériák, I/O-k

13 Regiszterek 32 8-bites általános célú regiszter Közülük 6 16-bites regiszterként használható egyes utasításokban (X, Y, Z) Státus regiszter Programszámláló PC Stack pointer SP R0 $00 R1 $01 R2 $02 R13 $0D R14 $0E R15 $0F R16 $10 R17 $11 R26 $1A X-register Low Byte R27 $1B X-register High Byte R28 $1C Y-register Low Byte R29 $1D Y-register High Byte R30 $1E Z-register Low Byte R31 $1F Z-register High Byte

14 Speciális regiszterek Special Function Register (SFR) Beépített, a belső memóriába ágyazott speciális regiszterek, meghatározott funkciójú tartalommal: A rendszer és a perifériák állapotát tükröző státus adatok. A rendszer illetve a perifériák üzemmódját beállító vezérlő-adatok. A perifériák működését indító parancs-adatok

15 Utasítások

16 Utasítások

17 Utasítások

18 Utasítások

19 Memóriák Program memória: EEPROM: Flash memória Nem felejtő Törölhető szer írható (tartósság endurance) Programból is írható Elektromosan törölhető, programozható Nem felejtő Tartósság: Adat memória: Statikus RAM

20 Órajel A belső és külső folyamatok ütemezésére Lehetőségek Belső RC generátor pontatlan, hangolható, de hőmérsékletfüggő Külső RC generátor pontatlan, hőmérsékletfüggő Külső keramikus rezonátor közepes pontosságú és stabilitású, olcsó Külső kvarckristály pontos és stabil Külső kristály-oszcillátor pontos és stabil ATmega128 Maximális órajelfrekvencia: 16 MHz ATmega128L (alacsony tápfeszültségű) Maximális órajelfrekvencia: 8 MHz

21 Megszakítások Belső perifériákban bekövetkező és külső eseményekre való reagálás eszköze. Működése: Események bekövetkezésekor az éppen aktuális utasítás befejeződik, és ha engedélyezve van, megszakad a program normál futása, a visszatérési pont megjegyzésével. Végrehajtódik egy az eseménnyel összefüggésben megírt eljárás, az ISR (Interrupt Service Routine), azaz kiszolgálásra kerül megszakítás kérés. Visszatér a programvégrehajtás a normál menetre. Jellemzők: A lehető legegyszerűbb rendszer. Egyszintű, prioritás nélküli megszakítás rendszer. Több megszakítás együttes kezelése a programozó feladata

22 Programvégrehajtás Program: a programmemóriában tárolt utasítássorozat valamilyen specifikus funkció (algoritmusok) megvalósítására. A programvégrehajtás normál menete: Az aktuális utasítás programmemória-beli címe a programszámlálóban (PC Program Counter) található. A CPU előveszi az aktuális utasítást, dekódolja, előveszi az operandusokat, végrehajtja az utasítást, elhelyezi az eredményt az utasításban leírt helyre, beállítja a státus-regisztert, ha az utasítás nem ugrást ír elő, növeli a PC értékét 1-el, Programszervező utasítás esetén képezi a következő utasítás címét és betölti a PC-be. Ez a folyamat ismétlődik

23 Programvégrehajtás Eltérések a programvégrehajtás normál menetétől: Eljárások végrehajtása. Megszakításkezelés. Verem (stack) memória: A RAM memória speciálisan használt területe. Az aktuális memóriacímre az SP (Stack Pointer) regiszter mutat. PUSH művelet: egy adat tárolásra kerül a stack-be, majd SP értéke csökken 1-el. POP művelet: SP értéke nő 1-el, majd az adat, amelyre mutat kiolvasásra kerül. Az utolsónak bekerülő elem kerül ki elsőnek Last-In-First-Out (LIFO) memória

24 Eljárások végrehajtása Eljárás (subroutine): egy programrészlet, amelyet a program akár több pontjáról is meghívunk, majd végrehajtása után folytatjuk a normál programvégrehajtást. Eljáráshívás: CALL <eljárás kezdőcíme> Végrehajtás: A CPU eltárolja a stack-be az eljáráshívást követő utasítás címét a PC tartalmának 1-el növelésével (ez a visszatérési cím), beírja a PC-be az eljárás kezdőcímét, végrehajtja az eljárásnak megfelelő utasítás-sorozatot, ha visszatérési (RET) utasítást talál, a stack-ből előveszi a visszatérési címet, és azt beírja a PC-be. Eljárások láncolása: egy eljárás hívhat más eljárást, vagy akár saját magát (rekurzív eljárás) a láncolás mértékének a stack mérete szab határt

25 Megszakítások Belső perifériákban bekövetkező és külső eseményekre való reagálás eszköze. Működés: Események bekövetkezésekor az éppen aktuális utasítás befejeződik, és ha megszakítás engedélyezve van, megszakad a program normál futása, a visszatérési pont stack-ben való eltárolása mellett. Végrehajtódik egy az eseménnyel összefüggésben megírt eljárás, az ISR (Interrupt Service Routine), azaz kiszolgálásra kerül a megszakítás kérés. Visszatérési utasítás hatására visszatér a programvégrehajtás normál menete. Az ATmega128 megszakítás-rendszere: A lehető legegyszerűbb rendszer. Egyszintű, prioritás nélküli megszakítás rendszer. Több megszakítás együttes kezelése a programozó feladata

26 A megszakítások forrásai: Megszakítások Belső perifériák állapotváltozásai, hibajelzései, pl. készenlét, művelet befejezése, túlcsordulás, stb. (Interrupt) Külső megszakítás vonalakon megjelenő meghatározott logikai szint vagy változás. (External Interrupt) Megszakítás engedélyezés/tiltás: Globális. A forrásokra és eseménytípusokra szelektív. A megszakítások azonosítása: Megszakítás-vektorok: Interrupt Vector Table

27 Interrupt Vector Table: Megszakítások Minden egyes megszakítás forráshoz tartozik egy táblázat-bejegyzés: a megszakítás-kiszolgáló eljárás (Interrupt Service Routine ISR) ugrási címe. A táblázat helye: a programmemória kezdő pozíciója. 0 cím: RESET, a tápfeszültség megjelenése vagy a RESET bemenet logikai L szintre helyezése után az itt található címtől indul a végrehajtás

28 Beágyazott rendszerek perifériái Periféria áramkörök Interfész áramkörök: Analóg jelinterfészek: AD és DA konverterek Logikai jelinterfészek: digitális I/O Fizikai jelinterfészek: érzékelők, mérőeszközök, relék, motorok, különböző fizikai elveken alapuló beavatkozó szervek Kommunikációs interfészek: vezetékes és vezeték nélküli soros vagy hálózati interfészek Adattároló eszközök: Mágneses tároló eszközök: keménylemezes diszkek Optikai tároló eszközök: CD, DVD Félvezető tároló eszközök: multimédia, SD memória kártyák Kommunikációs eszközök: Vezetékes hálózatok: Ethernet, CAN, FlexRay Vezeték nélküli hálózatok: WLAN, ZigBee

29 ATmega128 belső digitális perifériák Időzítő (timer) egységek 2 8-bites, 2 16-bites timer egység 8 ill. 16-bites számlálók Rugalmasan választható számlálási frekvencia Időzítés, időmérés, jelgenerálás, PWM Megszakítás kérések: számláló túlcsordulás, számláló komparálás egyezése, külső esemény bekövetkezése (capture) Aszinkron/szinkron soros kommunikációs egységek (USART): Két független USART Állítható kommunikációs sebesség (Baud-rate) 8-9 bites átvitel, paritás, hibafigyelés Soros kommunikációs egységek (SPI I 2 C): SPI Serial Periheral Interface 3 vezetékes soros I 2 C itt TWI néven szerepel (Two-Wire Interface) 2- vezetékes Rövid távú gyors kommunikáció lebonyolítására

30 ATmega128 belső analóg perifériák Analóg komparátor (AC): Két analóg jel szintjének összehasonlítása Egy analóg jel egy referenciához való hasonlítása Belső 8-csatornás multiplexerrel jelválasztás Egyezés esetén: I/O regiszterben leolvasható jelzés vagy megszakítás Analóg digitális interfész (ADC): 10-bites SAR (szukcesszív approximációs) AD 8-csatornás bemeneti multiplexer Külső vagy belső referencia feszültség Állítható órajel frekvencia Konverzió kész jelzésre megszakítás kérés

31 I/O regiszterek (egy részlet): Periféria kezelés SPI Minden perifériához tartoznak specifikus regiszterek: parancs-, státusz-, és adatregiszterek

32 Periféria kezelés Egy I/O regiszter leírása (adatlap részlet): SPI Serial Peripheral Interface

33 Periféria kezelés SPI Serial Peripheral Interface MISO Master Input Slave Output MOSI Master Output Slave Input SCK Serial Clock SS Slave Select

34 Külső periféria-illesztés

35 AS5045 forgó mágneses szögjeladó SPI programozási séma AS5045 ATmega128

36 SPI Aktuális beállítások: SPE = 1 SPI periféria engedélyezve MSTR = 1 SPI Master mód CPOL = 1 órajel alapérték log. H CPHA = 1 mintavétel lefutó élre Órajel frekvencia 1 MHz, f CLK / 16 SPIE = 0/1 megszakítás tiltva/eng.

37 AS5045 forgó mágneses szögjeladó Adatfeldolgozás X D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 OCF COF LIN Mag Inc Mag Dec P X X X X X D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 OCF COF LIN Mag Inc Mag Dec P X X 2 Status: 0 0 OCF COF LIN Mag Inc Mag Dec P Data: D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0

38 Külső periféria-illesztés

39 Külső periféria-illesztés Motor hátsó tengely Mágnes foglalat Neodímium mágnes Áramköri panel Csatlakozó Érzékelő IC

40 Hardver rendszerfejlesztés 51 PA0/AD0 50 PA1/AD1 49 PA2/AD2 48 PA3/AD3 47 PA4/AD4 46 PA5/AD5 45 PA6/AD6 44 PA7/AD7 35 PC0/A8 36 PC1/A9 37 PC2/A10 38 PC3/A11 39 PC4/A12 40 PC5/A13 41 PC6/A14 42 PC7/A PF0/ADC0 PF1/ADC1 PF2/ADC2 PF3/ADC3 PF4/ADC4/TCK PF5/ADC5/TMS PF6/ADC6/TDO PF7/ADC7/TDI PG0/WR PG1/RD PG2/ALE 64 AVCC PG3/TOSC2 18 ATMEL ATmega128(L) PG4/TOSC VCC GND XTAL2 23 AREF PB0/SS PB1/SCK PB2/MOSI PB3/MISO PB4/OC0 PB5/OC1A PB6/OC1B PB7/OC1C PD0/SCL/INT0 PD1/SDA/INT1 PD2/RXD1/INT2 PD3/TXD1/INT3 PD4/ICP1 PD5/XCK1 PD6/T1 PD7/T2 PE0/RXD0/PDI PE1/TXD0/PDO PE2/XCK0/AIN0 PE3/OC3A/AIN1 PE4/OC3B/INT4 PE5/OC3C/INT5 PE6/T3/INT6 PE7/ICP3/INT7 XTAL PEN RESET

41 Hardver rendszerfejlesztés

42 Hardver rendszerfejlesztés

43 Hardver rendszerfejlesztés

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Misák Sándor ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.02.13.) 1. előadás 1. Általános ismeretek. 2. Sajátos tulajdonságok. 3. A processzor jellemzői.

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés: a 2. alkalom

Részletesebben

Autóipari beágyazott rendszerek CAN hardver

Autóipari beágyazott rendszerek CAN hardver Scherer Balázs, Tóth Csaba: Autóipari beágyazott rendszerek CAN hardver Előadásvázlat Kézirat Csak belső használatra! 2012.02.19. SchB, TCs BME MIT 2012. Csak belső használatra! Autóipari beágyazott rendszerek

Részletesebben

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 1. BEVEZETÉS

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 1. BEVEZETÉS ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 1. BEVEZETÉS Dr. Soumelidis Alexandros 2018.09.06. BME KÖZLEKEDÉSMÉRNÖKI ÉS JÁRMŰMÉRNÖKI KAR 32708-2/2017/INTFIN SZÁMÚ EMMI ÁLTAL TÁMOGATOTT TANANYAG Mivel foglalkozunk? Rendszer

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS 2015. 09. 06. Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés:

Részletesebben

Járműfedélzeti rendszerek I. 2. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 2. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 2. előadás Dr. Bécsi Tamás Alapfogalmak (MCU) I. Gépi szóhossz A processzor által egyszerre kezelhető adatmennyiség, azaz egy működési lépés során hány bit információ kerül

Részletesebben

Az AVR ATmega128 mikrokontroller

Az AVR ATmega128 mikrokontroller Az AVR ATmega128 mikrokontroller Rövid leírás Ez a leírás a Mérés labor II. tárgy első mikrokontrolleres témájú mérési gyakorlatához készült. Csak annyit tartalmaz általánosan az IC-ről, ami szerintünk

Részletesebben

Érzékelők és beavatkozók

Érzékelők és beavatkozók Mechatronikai szakirány Érzékelők és beavatkozók 1. előadás: Bevezetés c. egyetemi tanár - 1 - Rendszer Mérés Adatgyűjtés Kommunikáció Beavatkozás Detektálás Irányítás - 2 - Mérés, érzékelés Célok: Megismerés

Részletesebben

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Megszakítások (Interrupts: IT) Megszakítás fogalma Egy aszinkron jelzés (pl. gomblenyomás) a processzor felé (Interrupt Request: IRQ), hogy valamely

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők Tárgykövetelmények, tematika Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Lehetőségek: o Hardware

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

Nagyteljesítményű mikrovezérlők

Nagyteljesítményű mikrovezérlők Nagyteljesítményű mikrovezérlők Tárgykövetelmények, tematika Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2015 Lehetőségek: o

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. október 17. Laboratóriumi berendezések

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája A Freescale

5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája A Freescale 5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája Jelenleg a piacon több általános jellegű processzor-architektúra van a beágyazott eszköz piacon, ezek közül a legismertebbek:

Részletesebben

Labor 2 Mikrovezérlők

Labor 2 Mikrovezérlők Labor 2 Mikrovezérlők ATMEL AVR - ARDUINO BUDAI TAMÁS 2015. 09. 06. Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

Arduino bevezető Szenzorhálózatok és alkalmazásaik

Arduino bevezető Szenzorhálózatok és alkalmazásaik Arduino bevezető Szenzorhálózatok és alkalmazásaik VITMMA09 Okos város MSc mellékspecializáció Mi az Arduino? Nyílt hardver és szoftver platform 8 bites Atmel mikrokontroller köré építve Ökoszisztéma:

Részletesebben

A Texas Instruments MSP430 mikrovezérlőcsalád

A Texas Instruments MSP430 mikrovezérlőcsalád 1.4.1. A Texas Instruments MSP430 mikrovezérlőcsalád A Texas Instruments MSP430-as mikrovezérlői 16 bites RISC alapú, kevert jelű (mixed signal) processzorok, melyeket ultra kis fogyasztásra tervezték.

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

Scherer Balázs: Mikrovezérlık fejlıdési trendjei

Scherer Balázs: Mikrovezérlık fejlıdési trendjei Budapesti Mőszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Scherer Balázs: Mikrovezérlık fejlıdési trendjei 2009. Budapesti Mőszaki és Gazdaságtudományi Egyetem, Méréstechnika

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők Tárgykövetelmények, tematika Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Házi feladat: kötelező

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás ATmega128 CPU Single-level pipelining Egyciklusú ALU működés Reg. reg., reg. konst. közötti műveletek 32 x 8 bit általános célú regiszter Egyciklusú

Részletesebben

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Rendszer órajel Órajel osztás XTAL Divide Control (XDIV) Register 2 129 oszthat Órajel források CKSEL fuse bit Külső kristály/kerámia rezonátor Külső

Részletesebben

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások Mechatronika és mikroszámítógépek 2018/2019 I. félév Külső megszakítások Megszakítás, Interrupt A megszakítás egy olyan esemény, vagy feltétel teljesülése, amely felfüggeszti a program futását, a vezérlést

Részletesebben

Dr. Schuster György szeptember 27.

Dr. Schuster György szeptember 27. Real-time operációs rendszerek RTOS 2012. szeptember 27. Általános ismérvek: ARM Cortex M3 mag 80 MHz órajel frekvencia (50 MHz flash-ből) 256 kbájt flash 96 kbájt RAM ARM Cortex Sys Tick Timer belső ROM

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

Scherer Balázs: Mikrovezérlők fejlődési trendjei

Scherer Balázs: Mikrovezérlők fejlődési trendjei Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Scherer Balázs: Mikrovezérlők fejlődési trendjei 2009. Budapesti Műszaki és Gazdaságtudományi Egyetem, Méréstechnika

Részletesebben

Érzékelők és beavatkozók I.

Érzékelők és beavatkozók I. Érzékelők és beavatkozók I. Mikrovezérlők, mikroszámítógépek: 32-bites ARM Cortex architektúra c. egyetemi tanár - 1 - ARM ARM architektúrájú processzorok ARM Advanced RISC Machine RISC Reduced Instruction

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

The modular mitmót system. Az AVR mikrovezérlő kártya

The modular mitmót system. Az AVR mikrovezérlő kártya The modular mitmót system Az AVR mikrovezérlő kártya Kártyakód: MCU-AVR-S-01c Felhasználói dokumentáció Dokumentációkód: -D02c Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK 1 Az adatok feldolgozását végezhetjük olyan általános rendeltetésű digitális eszközökkel, amelyeket megfelelő szoftverrel (programmal) vezérelünk. A mai digitális

Részletesebben

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya The modular mitmót system 433, 868MHz-es ISM sávú rádiós kártya Kártyakód: COM-R04-S-01b Felhasználói dokumentáció Dokumentációkód: -D01a Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Leírás. Készítette: EMKE Kft. 2009. február 11.

Leírás. Készítette: EMKE Kft. 2009. február 11. Leírás Alkalmas: Jármővek mozgásának valós idejő nyomkövetését biztosító kommunikációra. A mozgás koordinátáinak eltárolására, utólagos visszaellenırzésére (pl. sebesség túllépés, vagy bejárt útvonal).

Részletesebben

Mechatronika és mikroszámítógépek

Mechatronika és mikroszámítógépek Mechatronika és mikroszámítógépek 2018/2019 I. félév Órajelek, időzítők, megszakítások (4. lab) Órajel Internal Oscillator Control Register (OSCICN 0xB2) Bit Symbol Leírás 7 MSCLKE Missing Clock enable

Részletesebben

Új kompakt X20 vezérlő integrált I/O pontokkal

Új kompakt X20 vezérlő integrált I/O pontokkal Új kompakt X20 vezérlő integrált I/O pontokkal Integrált flash 4GB belső 16 kb nem felejtő RAM B&R tovább bővíti a nagy sikerű X20 vezérlő családot, egy kompakt vezérlővel, mely integrált be és kimeneti

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

Jelfeldolgozás a közlekedésben

Jelfeldolgozás a közlekedésben Jelfeldolgozás a közlekedésben 2015/2016 II. félév 8051 és C8051F020 mikrovezérlők Fontos tudnivalók Elérhetőség: ST. 108 E-mail: lovetei.istvan@mail.bme.hu Fontos tudnivalók: kjit.bme.hu Aláírás feltétele:

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. július 18. A mérőberendezés felhasználási

Részletesebben

Serial 2: 1200/2400 bps sebességû rádiós modem vagy

Serial 2: 1200/2400 bps sebességû rádiós modem vagy - ATMEL ATmega Processzor - kb Flash memória a program részére - kb belsõ és Kb külsõ EEPROM - kb belsõ és kb külsõ RAM - db többfunkciós soros interfész (kiépitéstõl függõen) Serial : RS- vagy RS-5 (fél-

Részletesebben

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető A DSP (Digital Signal Processor) mikrórendszer a világon a legelterjedtebb beágyazott rendszerben használt processzor. A DSP tulajdonságok

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

16F628A megszakítás kezelése

16F628A megszakítás kezelése 16F628A megszakítás kezelése A 'megszakítás' azt jelenti, hogy a program normális, szekvenciális futása valamilyen külső hatás miatt átmenetileg felfüggesztődik, és a vezérlést egy külön rutin, a megszakításkezelő

Részletesebben

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC Mechatronika és mikroszámítógépek 2016/2017 I. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők 5. Mikrovezérlő alapperifériák Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2017 Tartalom Általános

Részletesebben

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC Jelfeldolgozás a közlekedésben 2017/2018 II. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Nyíregyházi Egyetem Matematika és Informatika Intézete. Input/Output

Nyíregyházi Egyetem Matematika és Informatika Intézete. Input/Output 1 Input/Output 1. I/O műveletek hardveres háttere 2. I/O műveletek szoftveres háttere 3. Diszkek (lemezek) ------------------------------------------------ 4. Órák, Szöveges terminálok 5. GUI - Graphical

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők 5. Mikrovezérlő alapperifériák Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2017 Tartalom Általános

Részletesebben

Mintavételezés tanulmányozása. AD - konverzió. Soros kommunikáció

Mintavételezés tanulmányozása. AD - konverzió. Soros kommunikáció Mintavételezés tanulmányozása. AD - konverzió. Soros kommunikáció A gyakorlat célja A gyakorlat során a dspic30f6010 digitális jelprocesszor Analóg Digital konverterét tanulmányozzuk. A mintavételezett

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Bevezető a mikrokontrollerek, az IoT és

Bevezető a mikrokontrollerek, az IoT és Bevezető a mikrokontrollerek, az IoT és az Arduino platform világába Webmaster442.hu @webmaster442 webmaster442 Előadó: Ruzsinszki Gábor https://webmaster442.hu Történeti áttekintés 1958 Texas Instruments,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

TARTALOMJEGYZÉK. 1. Ismétlés... 19 1.1. A mikroprocesszor mőködése... 19 1.2. Mikroszámítógépek, mikrokontrollerek... 20

TARTALOMJEGYZÉK. 1. Ismétlés... 19 1.1. A mikroprocesszor mőködése... 19 1.2. Mikroszámítógépek, mikrokontrollerek... 20 TARTALOMJEGYZÉK Elıszó... 13 I. rész PIC MIKROVEZÉRLİK ALKALMAZÁSTECHNIKÁJA (KÓNYA LÁSZLÓ) 1. Ismétlés... 19 1.1. A mikroprocesszor mőködése... 19 1.2. Mikroszámítógépek, mikrokontrollerek... 20 2. A PIC

Részletesebben

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya The modular mitmót system 433, 868MHz-es ISM sávú rádiós kártya Kártyakód: COM-R4-S-b Fejlesztői dokumentáció Dokumentációkód: -Da Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

PIC tanfolyam 2013 tavasz 2. előadás

PIC tanfolyam 2013 tavasz 2. előadás PIC tanfolyam 2013 tavasz 2. előadás Horváth Kristóf SEM körtag SCH1315 szoba psoft-hkristof@amiga.hu Miről lesz ma szó? Elektromos szükségletek Oszcillátor Konfigurációs bitek Reset Energiatakarékos módok

Részletesebben

ÖNÁLLÓ LABOR Mérésadatgyűjtő rendszer tervezése és implementációja

ÖNÁLLÓ LABOR Mérésadatgyűjtő rendszer tervezése és implementációja ÖNÁLLÓ LABOR Mérésadatgyűjtő rendszer tervezése és implementációja Nagy Mihály Péter 1 Feladat ismertetése Általános célú (univerzális) digitális mérőműszer elkészítése Egy- vagy többcsatornás feszültségmérés

Részletesebben

loop() Referencia: https://www.arduino.cc/en/reference/homepage

loop() Referencia: https://www.arduino.cc/en/reference/homepage Arduino alapok Sketch ~ Solution Forrás:.ino (1.0 előtt.pde).c,.cpp,.h Külső könyvtárak (legacy / 3rd party) Mintakódok (example) setup() Induláskor fut le, kezdeti értékeket állít be, inicializálja a

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

Irányítástechnika 1. 7. Elıadás. Programozható logikai vezérlık

Irányítástechnika 1. 7. Elıadás. Programozható logikai vezérlık Irányítástechnika 1 7. Elıadás Programozható logikai vezérlık Irodalom - Helmich József: Irányítástechnika I, 2005 - Zalotay Péter: PLC tanfolyam - Klöckner-Möller Hungária: Hardverleírás és tervezési

Részletesebben

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes.

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. 6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. Neumann elv: Külön vezérlő és végrehajtó egység van Kettes

Részletesebben

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között -0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez -1 Kommunikáció LG PMU és LG GLOFA-GM / GM között -1-1 PLC programozó csatlakozója ( CPU loader port ) -1- PLC beépített C-NET csatlakozója (CPU C-net) -1-

Részletesebben

5-6. ea Created by mrjrm & Pogácsa, frissítette: Félix

5-6. ea Created by mrjrm & Pogácsa, frissítette: Félix 2. Adattípusonként különböző regisztertér Célja: az adatfeldolgozás gyorsítása - különös tekintettel a lebegőpontos adatábrázolásra. Szorzás esetén karakterisztika összeadódik, mantissza összeszorzódik.

Részletesebben

1.1. Általános áttekintés

1.1. Általános áttekintés 1.1. Általános áttekintés A mesterséges intelligencia megjelenésének az alapja a számítógép első működő eszköz az ENIAC számítógép volt amit a Manhattan-terv keretében fejlesztették ki 1946-ban. A memóriakezelő

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

ARM (Advanced RISC Machine)

ARM (Advanced RISC Machine) POWERED ARM ARM (Advanced RISC Machine) 1983 kisérleti projekt Acorn Computers Ltd., 1985 ARM1 fejlesztői minták, 1985 ARM2 32 bites adatbusz 64MB memória címezhető, 1989 ARM3 4K cache, 1990 ARM név változtatás

Részletesebben

MSP430 programozás Energia környezetben. Az I/O portok kezelése

MSP430 programozás Energia környezetben. Az I/O portok kezelése MSP430 programozás Energia környezetben Az I/O portok kezelése 1 Egyszerű I/O vezérlés Digitális I/O pinmode(pin, mode) kivezetés üzemmódjának beállítása digitalwrite(pin, state) - kimenetvezérlés digitalread(pin)

Részletesebben

A Netburner fejlesztőeszköz alkalmazástechnikája

A Netburner fejlesztőeszköz alkalmazástechnikája 5.2.1. A Netburner fejlesztőeszköz alkalmazástechnikája A NetBurner vállalatról A NetBurner vállalatot ##LINK: http://netburner.com ## 1998-ban alapították. A kezdetekben hálózati eszközökhöz programozó

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat

Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat 1 2 3 Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat XT: 83. CPU ugyanaz, nagyobb RAM, elsőként jelent

Részletesebben

Processzor (CPU - Central Processing Unit)

Processzor (CPU - Central Processing Unit) Készíts saját kódolású WEBOLDALT az alábbi ismeretanyag felhasználásával! A lap alján lábjegyzetben hivatkozz a fenti oldalra! Processzor (CPU - Central Processing Unit) A központi feldolgozó egység a

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

SzA19. Az elágazások vizsgálata

SzA19. Az elágazások vizsgálata SzA19. Az elágazások vizsgálata (Az elágazások csoportosítása, a feltételes utasítások használata, a műveletek eredményének vizsgálata az állapottér módszerrel és közvetlen adatvizsgálattal, az elágazási

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 32 bites trendek 2003-2017

Részletesebben