Modulációk. Modulációk. Modulációk fajtái.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Modulációk. Modulációk. Modulációk fajtái."

Átírás

1 Modulációk Ebben a éésben a háo alapvető odulációs eljáással isekedünk eg. A éés célja a koábban egiset jelalakoknak és egy gyakolatban egvalósított áakönek a vizsgálata. A valósághoz hasonló köülényeket odellezve a zaj hatását is figyelebe vesszük. A ééshez éőegységeket, egy zajgeneátot és egy száítógépet használunk fel. A éési jegyzőkönyvben szeepelniük kell a vizsgált jelalakoknak, a különböző jel/zaj viszonyhoz tatozó hibákat összefoglaló táblázatnak és a táblázat eedényeiből ajzolt gafikonnak. Modulációk fajtái. Egy szinuszos jelet egyételűen eghatáoz az aplitúdója, a fekvenciája, és (egy efeenciához viszonyított) fázisa. Ezek báelyikének egváltozása hodozhat hasznos infoációt. Attól függően, hogy ezek közül elyik paaéteét változtatjuk eg a jelnek, beszélhetünk aplitúdó-, fekvencia- vagy fázisodulációól. Ez a változás lehet folytonos vagy diszkét. Folytonos változása példa a űsoszóó ádiózás (AM - FM), aivel i ost ne foglalkozunk. Diszkét odulációt is sok helyen alkalaznakξ például a Coodoe és Spectu típusú száítógépeknél agnóa töténő adatögzítésko fekvenciaodulációt, űholdas távközlésben fázisodulációt, távíójelek átvitelénél aplitudó-odulációt használtak. A diszkét változás vizsgálatát is leszűkítjük a kététékű (bináis) odulációa. Ez a gyakolatban azt jelenti, hogy két éték között kapcsolgatunk, ezét ezt a ódszet angol elnevezéssel shift keyingnek (szószeinti fodításban : váltó billentyűzés) hívjuk. kinyotatva: n

2 Aplitúdó shift keying (ASK) A két állapot inél jobb egkülönböztethetősége édekében az egyik állapotban axiális, a ásikban iniális (0) aplitúdót alkalazunk. Modulálójel: Modulált jel: ába Fázis shift keying (PSK) A két állapot akko különböztethető eg a legjobban, ha egyáshoz képest 80 -os a fáziseltéés. Modulálójel: Modulált jel: Refeenciajel: 2 ába kinyotatva: n 2

3 Fekvencia shift keying (FSK) Két egyástól jól egkülönböztethető fekvenciát alkalazunk. A fekvencia-eltéés folyaatosan változó fáziskülönbségnek is felfogható. Modulálójel: Modulált jel: 3 ába A éési összeállítás eleei. A éés blokkvázlata. Mindháo odulációs eljáás éése egegyezik abban, hogy a száítógép adja a jeleket, aivel odulálunk és a száítógép dolgozza fel a kapott jelsoozatot. Egy éési ciklus eghatáozott száú bit elküldéséből és vételéből áll. Egy ciklus befejezése után a vett biteket összehasonlítja a poga az elküldöttekkel, kiétékeli az előfodult hibákat, kiíja a képenyőe az eedényt, ajd autoatikusan újaindul a következő ciklus. Dugaszolással lehet kiválasztani az éppen vizsgált eljáást. Az ASK és PSK esetén a deoduláto azonos, FSK esetén ás tipusú deodulátot kell használni. A oduláto és a deoduláto között elhelyezett áakö odellezi az átviteli csatonát. Itt keveedik a hasznos jelhez a zaj, ainek szintjét be lehet állítani. kinyotatva: n 3

4 A blokkvázlat : Zajgeneáto Moduláto Átviteli csatona Deoduláto Száítógép 4 ába kinyotatva: n 4

5 A odulátoegység. A oduláló jelet a beeneti eősítő fogadja, ai jelkondícionálást végez ajta. Az eősítő kienetén eedek fel- és lefutású négyszögjelet kapunk, ai eljut az ASK és a PSK odulátoba és a egfelelő dugó elhelyezésével az FSK odulátoba is. Az eősítő kienetén található egy aluláteesztő szűő is, ait ne használunk. A odulátoegység tatalaz egy szinuszos oszcillátot, ai a vivőt állítja elő. Van egy szinkon kienete ("sync.") az ASK és PSK detekto észée. Mivel FSK esetén a vivő fekvenciája változik, ezét ne alkalazható egyidőben indháo oduláció. A odulátoegység blokkvázlata: Adat Beeneti eôsítô Modulálójel Fázisoduláto PSK Aplitudó- ASK oduláto ] Vivô Oszcilláto, Fekvenciaoduláto FSK Szinkon 5 ába kinyotatva: n 5

6 Az ASK és PSK deoduláto. A dekódolást egy szozó áaköt tatalazó detekto végzi, ai egy efeenciajelet igényel ("sync."). Ezt a jelet nekünk kell biztosítani a odulátoból. A gyakolati egvalósí-tásoknál a vett jelből kell előállítani, eiatt ne lehet 00%-os odulációt alkalazni. A szozó áakö űködése azon alapszik, hogy a efeenciajellel azonos fekvenciájú jelet kieeli az egyéb jel közül. (Ezt az elvet alkalazzák a lockin technikában). A szozó kieneti jelének >> időe kiátlagolt étéke egy egyenszint lesz, ugyanis f a efeenciajel : U sin sin ω t a odulált jel : U t Ζ U sinξ Εω Η ωφt Η ζ U ki = U U t sin sin Η φ t Η ζdt = 0 ξε Φ γ 2 U U sin ν cos ν Ε Ε2 sin 2 Υ ν Ω Υ ν Φ Φ Ω 2 U U Ω cos ν sin ν Ε Ε Ω cos 2 Υ ν Ω 2 Υ ν Φ Φ 2 sin α U U cos ϑ U U α 2 ϑ cosα sin α Ezt a közelítést akko tehetjük eg, ha teljesül a α feltétel. Ha a odulált jel azonos fekvenciájú, int a efeenciajel (α =0), akko egy egyenfeszültséget kapunk, ainek nagysága függ a odulált jel aplitúdójától (U ) és a efeenciához viszonyított fázisától (cos ). A efeenciajelet tekinthetjük állandó aplitúdójúnak. Azonos fázis esetén axiális nagyságú (cos0 0 = ) jelet kapunk, ellenfázisú jel esetén (cos80 0 =-) azonos étékű, de ellentétes polaitású jelünk lesz. Eltéő fekvenciájú vagy zaj jellegű beeneti jele 0 feszültséget ad az áakö. A szozó kieneti jelét egy aluláteesztő szűőn vezetjük át, ez végzi az integálást. Egy hiszteézises kopaáto dönti el a szűő jele alapján, hogy 0 vagy bit ékezett. A kopaátonak van egy TTL szintű kienete is a száítógép szááa. A kopaálási szint beállítása egy kopoisszu eedénye, ugyanis ASK esetén az aplitúdó étékének a felée kellene beállítani, íg PSK esetén 0 V-os szinte. A hiszteézise a billenési bizonytalanság csökkentése édekében van szükség. kinyotatva: n 6

7 Az FSK detekto. A detektálást egy fázis-zát-huok (PLL) végzi elynek űködési elve egtalálható az "Elektonika" jegyzetben ezét itt csak öviden áttekintjük : -a feszültséggel vezéelhető oszcilláto (VCO) vezélés nélkül f 0 fekvenciát ad, -a fáziskopaáto (egy szozó-áakö) összehasonlítja a VCO jelét (f 2 ) a beeneti jellel (f ). A két jel egyáshoz viszonyított fázisától függ a fáziskopaáto kieneti négy-szögjelének kitöltési tényezője, vagyis az egyenáaú átlagétéke. -egy aluláteesztő szűő segítségével integáljuk ezt a négyszögjelet. -az így kapott egyenfeszültséggel vezéeljük a VCO-t. -ha a beeneti jel fekvenciája és fázisa egegyezik az f 0 -al, akko a szűő kieneti feszültsége = 0, egyébként a két jel fáziskülönbségével aányos előjeles éték. -egyensúlyi állapotban a VCO fekvenciája egegyezik a beeneti jel fekvenciájával és állandó étékű fáziskülönbség van közöttük. A szűő kieneti egyenfeszültsége állandó nagyságú. A PLL blokkvázlata : FSK jel f f 2 Fáziskopaáto Aluláteesztô szûô Kienet VCO Hibajel 6.ába kinyotatva: n 7

8 A odellezett átviteli csatona és a zajgeneáto. A valósághoz híven az adó és a vevő között töténik valai a jellel. Az aplitúdó csökkenését ne vesszük figyelebe, azt ugyanis egyszeű kiegyenlíteni (egnöveljük az eősítést). A zajt viszont seiképpen se tudjuk elkeülni. A odell ait használunk a következőképpen néz ki: Tiszta jel Zajos jel Zaj 7 ába A zajgeneáto sávliitált Gauss zajt podukál. Ezt egy 3 bites shift-egiszte segítségével hozzuk léte úgy, hogy axiális hosszúságú jelsoozatot kapjunk. Ez azt jelenti, hogy egy állapot csak akko fodul elő isét, ha 2 3 β állapoton átegy a endsze, vagyis lépésenként. A axiális hosszúságú jelsoozatot úgy állítjuk elő, hogy a 28. és a 3. helyétékől vett jelek XOR kapcsolatát vezetjük vissza az első helyétéke. Ebből az alsó 8 bitet használjuk fel egy digitál/analóg konvete vezéléséhez. Ahhoz, hogy sávliitált zajt kapjunk, sinx/x alakú függvénnyel súlyozottan konvetálunk. A zajgeneáto blokkvázlata: = XOR 8 bit sin x 8 bit D/A x Sávliitált GAUSS zaj 8 ába kinyotatva: n 8

9 A keetpoga. A száítógép bekapcsolásako induló poga segíti a éési feladatok elvégzését. A poga kezelése igen egyszeű: -előszö a oduláció típusát kell egadni (a későbbiekben az a betű egnyoása után egváltoztatható): : ASK 2 : PSK 3 : FSK -ezután a éési ciklusok hosszát kell eghatáozni ( b betűvel egváltoztathatjuk). A beít száot 8-al osztható száa keekíti. -végül kiválasztjuk ilyen bitintával vizsgáljunk ( c betűvel egváltoztathatjuk): : véletlenszá geneátoal előállított bitsoozattal (azonos valószínűséggel lesznek 0 és bitek), 2 : állandó 0 bitekkel, 3 : bitekkel, 4 : állandó bitekkel, 5 : 8 bites bináis alakban beadott kobinációval. -az betű leütéseko nullázódik a hibaátlag. -a v betű hatásáa egéi az éppen aktuális jel- és zajszintet (V-ban), kiszáítja a jel/zaj viszonyt (db -ben) és kijelzi az étékeket. Ezt addig isétli, aíg eg ne nyounk egy ásik billentyűt. A feszültségszinteket két A/D átalakító segítségével, az iset definíció alapján hatáozza eg: T U eff U T 0 2 dt N U 2, N ahol U a intavételi időkben felvett pillanatnyi éték, N a intavételek száa A jel/zaj viszonyt a 20 log U jel db képlet alapján száolja ki. Uzaj -a t betű leütése után folyaatosan biteket küld a poga, a hiba kiétékelése nélkül. -az s betűvel be lehet fejezni a poga futását. A poga indulásko autoatikusan bekéi ind a háo paaétet, és nullázza a hiba-átlagot. Futás közben a beállított paaéteeket láthatjuk a képenyő felső észén, sága színnel az aktuális, zöld színnel a lehetséges egyéb étéket. A képenyő alsó észén a poga folyaatosan kiíja a éési eedényeket, a kiküldött valahány bitből hány ékezett vissza helyesen és hány tévesen. A képenyő alsó észén: -a hibaaány utatja a legutóbbi éési ciklusban előfodult hibák százalékos aányát. kinyotatva: n 9

10 -a hibaátlag utatja a poga indítása (vagy az betű legutóbbi egnyoása) óta ösz-szesen kiküldött és tévesen visszakapott bitek aányát százalékban. -az utolsó 0-00 éési ciklus hibaátlaga. A poga a éési ciklus elején küld egy szinkon ipulzust, aie szinkonizálni lehet az oszcilloszkópot. Minden egyes kiküldött bit után vá egy eghatáozott ideig, utána beolvassa a detekto kienetének állapotát és összehasonlítja az elküldött bittel. Miután az összes bitet elküldte és visszaolvasta, kiétékeli az előfodult hibák száát, ajd a kapott eedényt a képenyőn egjeleníti. Ezután indul a következő ciklus. A poga indítása DOS-ból : a DN begépelésével behívja DOS Navigátot és a egjelenő enüben a éés enüponttal indítja a éést. Egyéb tudnivalók. A tápegység bekapcsolása után a éési összeállítás ne biztos, hogy űködőképes! Le kell ellenőizni, hogy beindult-e a vivőfekvenciás geneáto. A "syn" feliatú ponton eg kell jelenni egy 00 khz-es fekvenciatatoányba eső jelnek. Ennek hiányában a tápegység ki- és bekapcsolásával el kell indítani a ezgést. Az oszcilloszkóp szááa kiadott szinkonjel a BNC típusú csatlakozóval ellátott koaxiális kábelen jelenik eg. A kiadott jelsoozat a zöld színű vékony vezetéken jut el a odulátoig. A vastag, szüke színű vezetéken fogadja a száítógép a detektált jelet. Ügyeljünk aa, hogy a száítógép beenete csak TTL szintű jeleket képes feldolgozni, ennél nagyobb (pl. a kopaáto kienetén egjelenő kb. 0 V-os aplitúdójú) jel tönke teszi! +5 V-os tápfeszültséget csak a zajgeneáto használ, 2 V-ot indegyik éőegység. kinyotatva: n 0

ψ m Az állórész fluxus Park-vektorának összetevői

ψ m Az állórész fluxus Park-vektorának összetevői 5. ASZINKRON MOTOROS HAJTÁSOK (. ész) Közvetlen nyoatékszabályozás Közvetlen nyoatékszabályozásnál a feszültséginvete egfelelő állapotának kiválasztásával közvetlenül az állóész fluxust és a nyoatékot

Részletesebben

7. Komparátorok (szintdetektorok)

7. Komparátorok (szintdetektorok) 1 7. (szintdetektook) A kompaátook agy más néen szintdetektook két ementi jel összehasonlítását égzik: a kimenti jel aszolút étéke mindig konstans, de előjele a nagyoik aszolút étékű ementi jel előjeléel

Részletesebben

Elektronika Előadás. Modulátorok, demodulátorok, lock-in erősítők

Elektronika Előadás. Modulátorok, demodulátorok, lock-in erősítők Elektronika 2 10. Előadás Modulátorok, demodulátorok, lock-in erősítők Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök, Műszaki

Részletesebben

9. ábra. A 25B-7 feladathoz

9. ábra. A 25B-7 feladathoz . gyakolat.1. Feladat: (HN 5B-7) Egy d vastagságú lemezben egyenletes ρ téfogatmenti töltés van. A lemez a ±y és ±z iányokban gyakolatilag végtelen (9. ába); az x tengely zéuspontját úgy választottuk meg,

Részletesebben

X. MÁGNESES TÉR AZ ANYAGBAN

X. MÁGNESES TÉR AZ ANYAGBAN X. MÁGNESES TÉR AZ ANYAGBAN Bevezetés. Ha (a külső áaok által vákuuban létehozott) ágneses tébe anyagot helyezünk, a ágneses té egváltozik, és az anyag ágnesezettsége tesz szet. Az anyag ágnesezettségének

Részletesebben

Távközlő hálózatok és szolgáltatások Távközlő rendszerek áttekintése

Távközlő hálózatok és szolgáltatások Távközlő rendszerek áttekintése Távközlő hálózatok és szolgáltatások Távközlő rendszerek áttekintése Németh Krisztián BME TMIT 2015. szept. 14, 21. A tárgy felépítése 1. Bevezetés Bemutatkozás, játékszabályok, stb. Történelmi áttekintés

Részletesebben

Hullámtan. A hullám fogalma. A hullámok osztályozása.

Hullámtan. A hullám fogalma. A hullámok osztályozása. Hullátan A hullá fogala. A hulláok osztályozása. Kísérletek Kis súlyokkal összekötött ingasor elején keltett rezgés átterjed a többi ingára is [0:6] Kifeszített guikötélen keltett zavar végig fut a kötélen

Részletesebben

állórész forgórész Háromfázisú, négypólusú csúszógyűrűs aszinkron motor metszetvázlatai

állórész forgórész Háromfázisú, négypólusú csúszógyűrűs aszinkron motor metszetvázlatai 5 AZINKON OTOO HAJTÁOK (1 ész) A villaos hajtások közel /3 észe aszinkon otoos hajtás Az egyszeű kivitelű, kalickás fogóészű aszinkon otook eltejedésének okai: - közvetlenül csatlakoztathatók háo fázisú

Részletesebben

Frekvenciamoduláció (FM) Frekvencia moduláció esetén a vivő hullám pillanatnyi frekvenciája a moduláló jel pillanatnyi amplitúdójával arányos.

Frekvenciamoduláció (FM) Frekvencia moduláció esetén a vivő hullám pillanatnyi frekvenciája a moduláló jel pillanatnyi amplitúdójával arányos. Frekenciaoduláció (FM) Frekencia oduláció esetén a iő hullá pillanatnyi frekenciája a oduláló jel pillanatnyi aplitúdójáal arányos. Az frekenciaoduláció előállítása A frekenciaoduláció a szögodulációk

Részletesebben

Fizikai Szemle MAGYAR FIZIKAI FOLYÓIRAT

Fizikai Szemle MAGYAR FIZIKAI FOLYÓIRAT Fizikai zele MAGYAR FIZIKAI FOLYÓIRAT A Matheatikai és Teészettudoányi Étesítõt az Akadéia 88-ben indította A Matheatikai és Physikai Lapokat ötvös Loánd 89-ben alapította LXII évfolya 4 szá 0 ápilis A

Részletesebben

( X ) 2 összefüggés tartalmazza az induktív és a kapacitív reaktanciát, amelyek értéke a frekvenciától is függ.

( X ) 2 összefüggés tartalmazza az induktív és a kapacitív reaktanciát, amelyek értéke a frekvenciától is függ. 5.A 5.A 5.A Szinszos mennyiségek ezgıköök Ételmezze a ezgıköök ogalmát! ajzolja el a soos és a páhzamos ezgıköök ezonanciagöbéit! Deiniálja a ezgıköök hatáekvenciáit, a ezonanciaekvenciát, és a jósági

Részletesebben

JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, TULAJDONSÁGAI.

JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, TULAJDONSÁGAI. 216. okóber 7., Budapes JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, TULAJDONSÁGAI. Alapfogalmak, fizikai réeg mindenki álal ismer fogalmak (hobbiból azér rákérdezheek vizsgán): jel, eljesímény,

Részletesebben

FIZIKA. Váltóáramú hálózatok, elektromágneses hullámok

FIZIKA. Váltóáramú hálózatok, elektromágneses hullámok Váltóáramú hálózatok, elektromágneses Váltóáramú hálózatok Maxwell egyenletek Elektromágneses Váltófeszültség (t) = B A w sinwt = sinwt maximális feszültség w= pf körfrekvencia 4 3 - - -3-4,5,,5,,5,3,35

Részletesebben

1. Az adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben.

1. Az adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. 1 1. z adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb eleel, a legegyszerűbben. F függvény 4 változós. MEGOLÁS: legegyszerűbb alak egtalálása valailyen egyszerűsítéssel lehetséges algebrai,

Részletesebben

Modulációk vizsgálata

Modulációk vizsgálata Modulációk vizsgálata Mérés célja: Az ELVIS próbapanel használatának és az ELVIS műszerek, valamint függvénygenerátor használatának elsajátítása, tapasztalatszerzés, ismerkedés a frekvencia modulációs

Részletesebben

4. ASZINKRON MOTOROS HAJTÁSOK A villamos hajtások 2/3 része aszinkron motoros hajtás. Az aszinkron motorok elterjedésének

4. ASZINKRON MOTOROS HAJTÁSOK A villamos hajtások 2/3 része aszinkron motoros hajtás. Az aszinkron motorok elterjedésének Villaos hajtások AZNKON OTOO HAJTÁOK 4. AZNKON OTOO HAJTÁOK A villaos hajtások /3 észe aszinkon otoos hajtás. Az aszinkon otook eltejedésének okai: - közvetlenül csatlakoztathatók háo fázisú táphálózata,

Részletesebben

4. ASZINKRON MOTOROS HAJTÁSOK A villamos hajtások 2/3 része aszinkron motoros hajtás. Az aszinkron motorok elterjedésének

4. ASZINKRON MOTOROS HAJTÁSOK A villamos hajtások 2/3 része aszinkron motoros hajtás. Az aszinkron motorok elterjedésének 4. AZNKON OTOO HAJTÁOK A villaos hajtások /3 észe aszinkon otoos hajtás. Az aszinkon otook eltejedésének okai: - közvetlenül csatlakoztathatók háo fázisú táphálózata, ne igényelnek külön tápfoást (int

Részletesebben

EGYENÁRAMÚ TÁPEGYSÉGEK

EGYENÁRAMÚ TÁPEGYSÉGEK dátum:... a mérést végezte:... EGYENÁRAMÚ TÁPEGYSÉGEK m é r é s i j e g y z k ö n y v 1/A. Mérje meg az adott hálózati szabályozható (toroid) transzformátor szekunder tekercsének minimálisan és maximálisan

Részletesebben

A szinuszosan váltakozó feszültség és áram

A szinuszosan váltakozó feszültség és áram A szinszosan váltakozó feszültség és ára. A szinszos feszültség előállítása: Egy téglalap alakú vezető keretet egyenletesen forgatnk szögsebességgel egy hoogén B indkciójú ágneses térben úgy, hogy a keret

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata

Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata Reichardt, András 27. szeptember 2. 2 / 5 NDSM Komplex alak U C k = T (T ) ahol ω = 2π T, k módusindex. Időfüggvény előállítása

Részletesebben

Rugalmas hullámok terjedése. A hullámegyenlet és speciális megoldásai

Rugalmas hullámok terjedése. A hullámegyenlet és speciális megoldásai Rugalmas hullámok tejedése. A hullámegyenlet és speciális megoldásai Milyen hullámok alakulhatnak ki ugalmas közegben? Gázokban és folyadékokban csak longitudinális hullámok tejedhetnek. Szilád közegben

Részletesebben

Rugalmas megtámasztású merev test támaszreakcióinak meghatározása I. rész

Rugalmas megtámasztású merev test támaszreakcióinak meghatározása I. rész Rugalas egtáasztású erev test táaszreakióinak eghatározása I. rész Bevezetés A következő, több dolgozatban beutatott vizsgálataink tárgya a statikai / szilárdságtani szakirodalo egyik kedvene. Ugyanis

Részletesebben

Az aszinkron gépek modellezése

Az aszinkron gépek modellezése Az asznkon gépek odellezése Az asznkon gép felépítése Az állóész fázsú szetkus p póluspá száú tekecsendsze a a tébel felha onkusokat elhanyagolva a légésben sznuszos ezőeloszlást feltételezve echanka szögsebességgel

Részletesebben

Az előadás vázlata:

Az előadás vázlata: 18..19. Az előadás vázlata: I. eokéiai egyenletek. A eakcióhő teodinaikai definíciója. II. A standad állapot. Standad képződési entalpia. III. ess-tétel. IV. Reakcióentalpia száítása képződési entalpia

Részletesebben

Kényszerrezgések, rezonancia

Kényszerrezgések, rezonancia TÓTH A: Rezgése/ (ibővített óavázlat 13 Kényszeezgése, ezonancia Gyaolatilag is igen fontos eset az, aio egy ezgése épes endsze ezgései valailyen ülső, peiodius hatás (énysze űödése özben zajlana le Az

Részletesebben

Hurokegyenlet alakja, ha az áram irányával megegyező feszültségeséseket tekintjük pozitívnak:

Hurokegyenlet alakja, ha az áram irányával megegyező feszültségeséseket tekintjük pozitívnak: Első gyakorlat A gyakorlat célja, hogy megismerkedjünk Matlab-SIMULINK szoftverrel és annak segítségével sajátítsuk el az Automatika c. tantárgy gyakorlati tananyagát. Ezen a gyakorlaton ismertetésre kerül

Részletesebben

Feszültségérzékelők a méréstechnikában

Feszültségérzékelők a méréstechnikában 5. Laboratóriumi gyakorlat Feszültségérzékelők a méréstechnikában 1. A gyakorlat célja Az elektronikus mérőműszerekben használatos különböző feszültségdetektoroknak tanulmányozása, átviteli karakterisztika

Részletesebben

2010. március 27. Megoldások 1/6. 1. A jégtömb tömege: kg. = m 10 m = 8,56 10 kg. 4 pont m. tengervíz

2010. március 27. Megoldások 1/6. 1. A jégtömb tömege: kg. = m 10 m = 8,56 10 kg. 4 pont m. tengervíz 00. ácius 7. Megoldások /6.. jégtöb töege: kg 6 6 jég = ρ jég jég jég = 90 9000 0 0 = 8,56 0 kg. Kiszoított víz téfogata: 6 jég 8,56 0 kg Vk = = = 8, 5 0. ρ kg tengevíz 07,4 Vízszint-eelkedés: Vk 8, 5

Részletesebben

DIGITÁLIS KOMMUNIKÁCIÓ Oktató áramkörök

DIGITÁLIS KOMMUNIKÁCIÓ Oktató áramkörök DIGITÁLIS KOMMUNIKÁCIÓ Oktató áramkörök Az elektronikus kommunikáció gyors fejlődése, és minden területen történő megjelenése, szükségessé teszi, hogy az oktatás is lépést tartson ezzel a fejlődéssel.

Részletesebben

Bor Pál Fizikaverseny 2016/17. tanév DÖNTŐ április évfolyam. Versenyző neve:...

Bor Pál Fizikaverseny 2016/17. tanév DÖNTŐ április évfolyam. Versenyző neve:... Bor Pál Fizikaverseny 2016/17. tanév DÖNTŐ 2017. április 22. 8. évfolya Versenyző neve:... Figyelj arra, hogy ezen kívül ég a további lapokon is fel kell írnod a neved! Iskola:... Felkészítő tanár neve:...

Részletesebben

A kísérlet, mérés megnevezése célkitűzései: Váltakozó áramú körök vizsgálata, induktív ellenállás mérése, induktivitás értelmezése.

A kísérlet, mérés megnevezése célkitűzései: Váltakozó áramú körök vizsgálata, induktív ellenállás mérése, induktivitás értelmezése. A kísérlet, mérés megnevezése célkitűzései: Váltakozó áramú körök vizsgálata, induktív ellenállás mérése, induktivitás értelmezése. Eszközszükséglet: tanulói tápegység funkcionál generátor tekercsek digitális

Részletesebben

Számítási feladatok megoldással a 6. fejezethez

Számítási feladatok megoldással a 6. fejezethez Számítási feladatok megoldással a 6. fejezethez. Egy szinuszosan változó áram a polaritás váltás után μs múlva éri el első maximumát. Mekkora az áram frekvenciája? T = 4 t = 4 = 4ms 6 f = = =,5 Hz = 5

Részletesebben

Fogaskerekek II. fogaskerekek geometriai jellemzői. alaptulajdonságai és jellemzői

Fogaskerekek II. fogaskerekek geometriai jellemzői. alaptulajdonságai és jellemzői Fogaskeekek II. fogaskeekek geoetiai jellezői Az evolvensfogazat alaptulajdonságai és jellezői Fogpofilalakok Foggöbének inden olyan pofilgöbe használható, aelyeke évényes az előzőekben isetetett fogeőlegességől

Részletesebben

Diszkrét Matematika. zöld könyv ): XIII. fejezet: 1583, 1587, 1588, 1590, Matematikai feladatgyűjtemény II. (

Diszkrét Matematika. zöld könyv ): XIII. fejezet: 1583, 1587, 1588, 1590, Matematikai feladatgyűjtemény II. ( FELADATOK A LEKÉPEZÉSEK, PERMUTÁCIÓK TÉMAKÖRHÖZ Diszkrét Matematika 4. LEKÉPEZÉSEK Értelmezési tartomány és értékkészlet meghatározása : Összefoglaló feladatgyűjtemény matematikából ( zöld könyv ): XIII.

Részletesebben

Sugárzásmérés Geiger-Müller számlálóval Purdea András Bartók Béla Elméleti Liceum

Sugárzásmérés Geiger-Müller számlálóval Purdea András Bartók Béla Elméleti Liceum Sugárzásérés Geiger-Müller szálálóval Purdea András Bartók Béla Eléleti Liceu 1. Bevezetés Úgy fogta neki a sugárzáséréshez, hogy kellett készítsek a fizika labornak egy Geiger-Müller Szálálót. A Rádótechnika

Részletesebben

Elektromos polarizáció: Szokás bevezetni a tömegközéppont analógiájára a töltésközéppontot. Ennek definíciója: Qr. i i

Elektromos polarizáció: Szokás bevezetni a tömegközéppont analógiájára a töltésközéppontot. Ennek definíciója: Qr. i i 0. Elektoos polaizáció, polaizáció vekto, elektoos indukció vekto. Elektoos fluxus. z elektoos ező foástövénye. Töltéseloszlások. Hatáfeltételek az elektosztatikában. Elektoos polaizáció: Szokás bevezetni

Részletesebben

FIZIKA. Ma igazán feltöltődhettek! (Elektrosztatika) Dr. Seres István

FIZIKA. Ma igazán feltöltődhettek! (Elektrosztatika) Dr. Seres István Ma igazán feltöltődhettek! () D. Sees István Elektomágnesesség Pontszeű töltések elektomos tee Folytonos töltéseloszlások tee Elektomos té munkája Feszültség, potenciál Kondenzátook fft.szie.hu 2 Sees.Istvan@gek.szie.hu

Részletesebben

TARTÓSZERKEZETEK I gyakorlat

TARTÓSZERKEZETEK I gyakorlat Nyírási vasalás tervezése NYOMOTT ÖV (beton) HÚZOTT RÁCSRUDAK (felhajlított hosszvasak) NYOMOTT RÁCSRUDAK (beton) HÚZOTT ÖV (hosszvasak) NYOMOTT ÖV (beton) HÚZOTT RÁCSRUDAK (kengyelek) NYOMOTT RÁCSRUDAK

Részletesebben

EGYENÁRAM. 1. Mit mutat meg az áramerısség? 2. Mitıl függ egy vezeték ellenállása?

EGYENÁRAM. 1. Mit mutat meg az áramerısség? 2. Mitıl függ egy vezeték ellenállása? EGYENÁRAM 1. Mit utat eg az áraerısség? 2. Mitıl függ egy vezeték ellenállása? Ω 2 3. Mit jelent az, hogy a vas fajlagos ellenállása 0,04? 4. Írd le Oh törvényét! 5. Milyen félvezetı eszközöket isersz?

Részletesebben

HÍRKÖZLÉSTECHNIKA. 2.ea. Dr.Varga Péter János

HÍRKÖZLÉSTECHNIKA. 2.ea. Dr.Varga Péter János HÍRKÖZLÉSTECHNIKA 2.ea Dr.Varga Péter János 2 Digitális jelek előállítása Digitális jelek előállítása 3 Híradástechnika I. (prezentáció) jegyzet 48.dia Digitális jelek előállítása 4 Híradástechnika I.

Részletesebben

Az aszinkron gépek modellezése

Az aszinkron gépek modellezése Az asznkon gépek odellezése Az asznkon gép felépítése Az állóész 3 fázsú szetkus p póluspá száú tekecsendszee a a tébel felhaonkusokat elhanyagolva a légésben sznuszos ezőeloszlást feltételezve e- p chanka

Részletesebben

FIZIKA. Ma igazán feltöltődhettek! (Elektrosztatika) Dr. Seres István

FIZIKA. Ma igazán feltöltődhettek! (Elektrosztatika) Dr. Seres István Ma igazán feltöltődhettek! () D. Sees István Elektomágnesesség Töltések elektomos tee Kondenzátook fft.szie.hu 2 Sees.Istvan@gek.szie.hu Elektomágnesesség, elektomos alapjelenségek Dözselektomosság Ruha,

Részletesebben

Műszaki folyamatok közgazdasági elemzése Előadásvázlat október 17. A technológia és a költségek dualitása

Műszaki folyamatok közgazdasági elemzése Előadásvázlat október 17. A technológia és a költségek dualitása Műszaki folyamatok közgazdasági elemzése Előadásvázlat 3 októbe 7 technológia és a költségek dualitása oábban beláttuk az alábbi összefüggéseket: a) Ha a munka hatáteméke nő akko a hatáköltség csökken

Részletesebben

Felrakógéppel kiszolgált átmenő magasraktár be- és kitárolási stratégiája

Felrakógéppel kiszolgált átmenő magasraktár be- és kitárolási stratégiája Felakógéel kiszolgált átenő agasaktá be- és kitáolási statégiája Jellezők: áteneti beenő táoló áteneti kienő táoló Q BE AB AK Q B L Q K B K Q KL Q BE (t) időegység alatt beléő akoányok száa Q B (t) időegység

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

= 1, , = 1,6625 = 1 2 = 0,50 = 1,5 2 = 0,75 = 33, (1,6625 2) 0, (k 2) η = 48 1,6625 1,50 1,50 2 = 43,98

= 1, , = 1,6625 = 1 2 = 0,50 = 1,5 2 = 0,75 = 33, (1,6625 2) 0, (k 2) η = 48 1,6625 1,50 1,50 2 = 43,98 1. Egy vasbeton szerkezet tervezése során a beton nelineáris tervezési diagraját alkalazzuk. Kísérlettel egállapítottuk, hogy a beton nyoószilárdságának várható értéke fc = 48 /, a legnagyobb feszültséghez

Részletesebben

Segédlet a Tengely gördülő-csapágyazása feladathoz

Segédlet a Tengely gördülő-csapágyazása feladathoz Segélet a Tengely göülő-csaágyazása felaathoz Összeállította: ihai Zoltán egyetemi ajunktus Tengely göülő-csaágyazása Aott az. ábán egy csaágyazott tengely kinematikai vázlata. A ajz szeint az A jelű csaágy

Részletesebben

9. Fényhullámhossz és diszperzió mérése jegyzőkönyv

9. Fényhullámhossz és diszperzió mérése jegyzőkönyv 9. Fényhullámhossz és diszperzió mérése jegyzőkönyv Zsigmond Anna Fizika Bsc II. Mérés dátuma: 008. 11. 1. Leadás dátuma: 008. 11. 19. 1 1. A mérési összeállítás A méréseket speciális szögmérő eszközzel

Részletesebben

Híradástechnika I. 2.ea

Híradástechnika I. 2.ea } Híradástechnika I. 2.ea Dr.Varga Péter János Spektrum ábra példa Híradástechnika Intézet 2 A kommunikációban használt fontosabb fogalmak A sávszélesség A sávszélesség az a frekvenciatartomány, amelyben

Részletesebben

Elektronikus műszerek Analóg oszcilloszkóp működés

Elektronikus műszerek Analóg oszcilloszkóp működés 1 1. Az analóg oszcilloszkópok általános jellemzői Az oszcilloszkóp egy speciális feszültségmérő. Nagy a bemeneti impedanciája, ezért a voltmérőhöz hasonlóan a mérendővel mindig párhuzamosan kell kötni.

Részletesebben

Mozgás centrális erőtérben

Mozgás centrális erőtérben Mozgás centális eőtében 1. A centális eő Válasszunk egy olyan potenciális enegia függvényt, amely csak az oigótól való távolságtól függ: V = V(). A tömegponta ható eő a potenciális enegiája gaiensének

Részletesebben

3 1, ( ) sorozat általános tagjának képletét, ha

3 1, ( ) sorozat általános tagjának képletét, ha Gyakolatok és feladatok. Hatáozd eg a kvetkező, ekuzíva ételezett soozatok általáos tagját: a), = = " ³, ; (felvételi feladat,99., Teesvá), b),, =, = " ³ ; (felvételi feladat, 99., Teesvá) c) =, = 4 =

Részletesebben

Sugárzás és szórás. ahol az amplitúdófüggvény. d 3 x J(x )e ikˆxx. 1. Számoljuk ki a szórási hatáskeresztmetszetet egy

Sugárzás és szórás. ahol az amplitúdófüggvény. d 3 x J(x )e ikˆxx. 1. Számoljuk ki a szórási hatáskeresztmetszetet egy Sugázás és szóás I SZÓRÁSOK A Szóás dielektomos gömbön Számoljuk ki a szóási hatáskeesztmetszetet egy ε elatív dielektomos állandójú gömb esetén amennyiben a gömb R sugaa jóval kisebb mint a beeső fény

Részletesebben

Explicit hibabecslés Maxwell-egyenletek numerikus megoldásához

Explicit hibabecslés Maxwell-egyenletek numerikus megoldásához Explicit hibabecslés Maxwell-egyenletek numerikus megoldásához Izsák Ferenc 2007. szeptember 17. Explicit hibabecslés Maxwell-egyenletek numerikus megoldásához 1 Vázlat Bevezetés: a vizsgált egyenlet,

Részletesebben

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ ATOMATKA ÉS ELEKTONKA SMEETEK KÖZÉPSZNTŰ ÍÁSBEL VZSGA JAVÍTÁS-ÉTÉKELÉS ÚTMTATÓ A MNTAFELADATOKHOZ Egyszerű, rövid feladatok Maximális pontszám: 40. Egy A=,5 mm keresztmetszetű alumínium (ρ= 0,08 Ω mm /m)

Részletesebben

HÍRADÁSTECHNIKA I. Dr.Varga Péter János

HÍRADÁSTECHNIKA I. Dr.Varga Péter János 3. HÍRADÁSTECHNIKA I. Dr.Varga Péter János Digitális modulációk 2 A digitális moduláció célja a lehető legtöbb információ átvitele a legkisebb sávszélesség felhasználásával, a legkisebb hibavalószínűséggel.

Részletesebben

JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, 2011. május 19., Budapest

JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, 2011. május 19., Budapest JELEK ALAPSÁVI LEÍRÁSA. MODULÁCIÓK. A CSATORNA LEÍRÁSA, TULAJDONSÁGAI. 2011. május 19., Budapest Alapfogalmak, fizikai réteg mindenki által l ismert fogalmak (hobbiból azért rákérdezhetek k vizsgán): jel,

Részletesebben

Első egyéni feladat (Minta)

Első egyéni feladat (Minta) Első egyéni feladat (Minta) 1. Készítsen olyan programot, amely segítségével a felhasználó 3 különböző jelet tud generálni, amelyeknek bemenő adatait egyedileg lehet változtatni. Legyen mód a jelgenerátorok

Részletesebben

1. ábra. r v. 2. ábra A soros RL-kör fázorábrái (feszültség-, impedancia- és teljesítmény-) =tg ϕ. Ez a meredekség. r

1. ábra. r v. 2. ábra A soros RL-kör fázorábrái (feszültség-, impedancia- és teljesítmény-) =tg ϕ. Ez a meredekség. r A VAÓÁO TEKE É A VAÓÁO KONDENÁTO A JÓÁ A soos -modell vizsgálata A veszteséges tekecs egy tiszta induktivitással, valamint a veszteségi teljesítményből számaztatható ellenállással modellezhető. Ez utóbbi

Részletesebben

A rezgések dinamikai vizsgálata, a rezgések kialakulásának feltételei

A rezgések dinamikai vizsgálata, a rezgések kialakulásának feltételei A rezgések dinaikai vizsgálata a rezgések kialakulásának feltételei F e F Rezgés kialakulásához szükséges: Mozgásegyenlet: & F( & t kezdeti feltételek: ( v t & v( t & ( t Ha F F( akkor az erőtér konzervatív.

Részletesebben

1.4. Mintapéldák. Vs r. (Használhatjuk azt a közelítő egyenlőséget, hogy 8π 25.)

1.4. Mintapéldák. Vs r. (Használhatjuk azt a közelítő egyenlőséget, hogy 8π 25.) Elektotechnikai alapismeetek Mágneses té 14 Mintapéldák 1 feladat: Az ába szeinti homogén anyagú zát állandó keesztmetszetű köben hatáozzuk meg a Φ B és étékét! Ismet adatok: a = 11 cm A = 4 cm μ = 8 I

Részletesebben

Mérési útmutató Az önindukciós és kölcsönös indukciós tényező meghatározása Az Elektrotechnika c. tárgy 7. sz. laboratóriumi gyakorlatához

Mérési útmutató Az önindukciós és kölcsönös indukciós tényező meghatározása Az Elektrotechnika c. tárgy 7. sz. laboratóriumi gyakorlatához BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR VILLAMOS ENERGETIKA TANSZÉK Mérési útutató Az önindukciós és kölcsönös indukciós tényező eghatározása Az Elektrotechnika

Részletesebben

Dinnyeválogató v2.0. Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1-

Dinnyeválogató v2.0. Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1- Dinnyeválogató v2.0 Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1- Omron K3HB-VLC elektronika illesztése mérlegcellához I. A HBM PW10A/50 mérlegcella csatlakoztatása

Részletesebben

Hangfrekvenciás mechanikai rezgések vizsgálata

Hangfrekvenciás mechanikai rezgések vizsgálata Hangfrekvenciás mechanikai rezgések vizsgálata (Mérési jegyzőkönyv) Hagymási Imre 2007. május 7. (hétfő délelőtti csoport) 1. Bevezetés Ebben a mérésben a szilárdtestek rugalmas tulajdonságait vizsgáljuk

Részletesebben

Fényhullámhossz és diszperzió mérése

Fényhullámhossz és diszperzió mérése KLASSZIKUS FIZIKA LABORATÓRIUM 9. MÉRÉS Fényhullámhossz és diszperzió mérése Mérést végezte: Enyingi Vera Atala ENVSAAT.ELTE Mérés időpontja: 2011. október 19. Szerda délelőtti csoport 1. A mérés célja

Részletesebben

DR. KOVÁCS ERNŐ MŰVELETI ERŐSÍTŐK MÉRÉSE

DR. KOVÁCS ERNŐ MŰVELETI ERŐSÍTŐK MÉRÉSE M I S K O L C I E G Y E T E M GÉPÉSZMÉRNÖKI ÉS INFORMATIKAI KAR ELEKTROTECHNIKAI-ÉS ELEKTRONIKAI INTÉZET DR. KOVÁCS ERNŐ MŰVELETI ERŐSÍTŐK MÉRÉSE MECHATRONIKAI MÉRNÖKI BSc alapszak hallgatóinak MÉRÉSI

Részletesebben

DIÓDÁS ÉS TIRISZTOROS KAPCSOLÁSOK MÉRÉSE

DIÓDÁS ÉS TIRISZTOROS KAPCSOLÁSOK MÉRÉSE M I S K O C I E G Y E T E M GÉPÉSZMÉNÖKI ÉS INFOMATIKAI KA EEKTOTECHNIKAI ÉS EEKTONIKAI INTÉZET Összeállította D. KOVÁCS ENŐ DIÓDÁS ÉS TIISZTOOS KAPCSOÁSOK MÉÉSE MECHATONIKAI MÉNÖKI BSc alapszak hallgatóinak

Részletesebben

Informatikai eszközök fizikai alapjai Lovász Béla

Informatikai eszközök fizikai alapjai Lovász Béla Informatikai eszközök fizikai alapjai Lovász Béla Kódolás Moduláció Morzekód Mágneses tárolás merevlemezeken Modulációs eljárások típusai Kódolás A kód megállapodás szerinti jelek vagy szimbólumok rendszere,

Részletesebben

Számítógépes Hálózatok

Számítógépes Hálózatok Számítógépes Hálózatok 2. Előadás: Fizikai réteg Based on slides from Zoltán Ács ELTE and D. Choffnes Northeastern U., Philippa Gill from StonyBrook University, Revised Spring 2016 by S. Laki Fizikai réteg

Részletesebben

Üzembe helyezési útmutató pointek ULS 200

Üzembe helyezési útmutató pointek ULS 200 Üzebe helyezési útutató 2007 pointek ULS 200 Műszaki adatok ac kivitel Tápfeszültség 100-230 V ac, 15%, 50/60 Hz, 12 VA (5W) ax. Biztosíték Slow-Blow, 0.25 A, 250 V ac Kienet isétlőképesség: érzékenység:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

17. tétel A kör és részei, kör és egyenes kölcsönös helyzete (elemi geometriai tárgyalásban). Kerületi szög, középponti szög, látószög.

17. tétel A kör és részei, kör és egyenes kölcsönös helyzete (elemi geometriai tárgyalásban). Kerületi szög, középponti szög, látószög. 17. tétel kö és észei, kö és egyenes kölcsönös helyzete (elemi geometiai tágyalásban). Keületi szög, középponti szög, látószög. Def: Kö: egy adott ponttól egyenlő távolsága levő pontok halmaza a síkon.

Részletesebben

Digitális mérőműszerek. Kaltenecker Zsolt Hiradástechnikai Villamosmérnök Szinusz Hullám Bt.

Digitális mérőműszerek. Kaltenecker Zsolt Hiradástechnikai Villamosmérnök Szinusz Hullám Bt. Digitális mérőműszerek Digitális jelek mérése Kaltenecker Zsolt Hiradástechnikai Villamosmérnök Szinusz Hullám Bt. MIRŐL LESZ SZÓ? Mit mérjünk? Hogyan jelentkezik a minőségromlás digitális jel esetében?

Részletesebben

egyenletesen, és c olyan színű golyót teszünk az urnába, amilyen színűt húztunk. Bizonyítsuk

egyenletesen, és c olyan színű golyót teszünk az urnába, amilyen színűt húztunk. Bizonyítsuk Valószínűségszámítás 8. feladatsor 2015. november 26. 1. Bizonyítsuk be, hogy az alábbi folyamatok mindegyike martingál. a S n, Sn 2 n, Y n = t n 1+ 1 t 2 Sn, t Fn = σ S 1,..., S n, 0 < t < 1 rögzített,

Részletesebben

WP1 Vezérlő Használati Útmutató

WP1 Vezérlő Használati Útmutató WP1 Vezérlő Használati Útmutató Lásd a kötési diagram. 24Volt 9Volt A vezérlő egy 9V-os Rain Bird szolenoidot működtet. Győződjön meg róla, hogy a szelepeket a vezérlővel összekötő vezeték, kisfeszültségű

Részletesebben

Klasszikus Fizika Laboratórium V.mérés. Fajhő mérése. Mérést végezte: Vanó Lilla VALTAAT.ELTE. Mérés időpontja:

Klasszikus Fizika Laboratórium V.mérés. Fajhő mérése. Mérést végezte: Vanó Lilla VALTAAT.ELTE. Mérés időpontja: Klasszikus Fizika Laboratóriu V.érés Fajhő érése Mérést égezte: Vanó Lilla VALTAAT.ELTE Mérés időpontja: 2012.10.11. 1. Mérés röid leírása A érés során egy inta fajhőjét kellett eghatározno. Ezt legkönnyebben

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Elektronikai alapismeretek középszint 08 ÉRETTSÉGI VIZSGA 008. október 0. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMTATÓ OKTATÁSI ÉS KLTRÁLIS MINISZTÉRIM Az

Részletesebben

HÍRADÁSTECHNIKA I. Dr.Varga Péter János

HÍRADÁSTECHNIKA I. Dr.Varga Péter János HÍRADÁSTECHNIKA I. 2. Dr.Varga Péter János 2 Modulációk Miért van szükség modulációra? 3 hullámokat megfelelő hatásfokkal sugározhassuk ha minden adó ugyanazon a frekvencián sugározna, az eredmény az lenne,

Részletesebben

Fluidizált halmaz jellemzőinek mérése

Fluidizált halmaz jellemzőinek mérése 1. Gyakorlat célja Fluidizált halaz jellezőinek érése A szecsés halaz tulajdonságainak eghatározása, a légsebesség-nyoásesés görbe és a luidizációs határsebesseg eghatározása. A érésekböl eghatározott

Részletesebben

Az önindukciós és kölcsönös indukciós tényező meghatározása Az Elektrotechnika tárgy 7. sz. laboratóriumi gyakorlatához Mérésvezetői segédlet

Az önindukciós és kölcsönös indukciós tényező meghatározása Az Elektrotechnika tárgy 7. sz. laboratóriumi gyakorlatához Mérésvezetői segédlet Az önindukciós és kölcsönös indukciós tényező meghatározása Az Elektrotechnika tárgy 7. sz. laboratóriumi gyakorlatához Mérésvezetői segédlet A hallgatói útmutatóban vázolt program a csoport felkészültsége

Részletesebben

A Föld-Hold rendszer stabilitása

A Föld-Hold rendszer stabilitása A Föld-Hold endsze stabilitása Föhlich Geogina Tudoányos Diákköi Dolgozata Eötvös Loánd Tudoányegyete Teészettudoányi Ka Fizika, csillagász szak Téavezető : D. Édi Bálint tanszékvezető egyetei taná ELTE

Részletesebben

Használhatósági határállapotok. Alakváltozások ellenőrzése

Használhatósági határállapotok. Alakváltozások ellenőrzése 1.GYAKORLAT Használhatósági határállapotok A használhatósági határállapotokhoz tartozó teherkombinációk: Karakterisztikus (repedésmentesség igazolása) Gyakori (feszített szerkezetek repedés korlátozása)

Részletesebben

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika GÁSPÁR PÉTER Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika rendszerek Irányítástechnika Budapest, 2008 2 Az előadás felépítése 1. 2. 3. 4. Irányítástechnika Budapest, 2008

Részletesebben

Pontműveletek. Sergyán Szabolcs Óbudai Egyetem Neumann János Informatikai Kar február 20.

Pontműveletek. Sergyán Szabolcs Óbudai Egyetem Neumann János Informatikai Kar február 20. Pontműveletek Sergyán Szabolcs sergyan.szabolcs@nik.uni-obuda.hu Óbudai Egyetem Neumann János Informatikai Kar 2012. február 20. Sergyán (OE NIK) Pontműveletek 2012. február 20. 1 / 40 Felhasznált irodalom

Részletesebben

Méréstechnika. Rezgésmérés. Készítette: Ángyán Béla. Iszak Gábor. Seidl Áron. Veszprém. [Ide írhatja a szöveget] oldal 1

Méréstechnika. Rezgésmérés. Készítette: Ángyán Béla. Iszak Gábor. Seidl Áron. Veszprém. [Ide írhatja a szöveget] oldal 1 Méréstechnika Rezgésmérés Készítette: Ángyán Béla Iszak Gábor Seidl Áron Veszprém 2014 [Ide írhatja a szöveget] oldal 1 A rezgésekkel kapcsolatos alapfogalmak A rezgés a Magyar Értelmező Szótár megfogalmazása

Részletesebben

NÉV osztály. Praktikus beállítások: Oldalbeállítás: A4 (210x297 mm), álló elrendezés, első oldal eltérő

NÉV osztály. Praktikus beállítások: Oldalbeállítás: A4 (210x297 mm), álló elrendezés, első oldal eltérő NÉV osztály Feladat cíe Dátu Praktikus beállítások: Oldalbeállítás: A (10x97 ), álló elrendezés, első oldal eltérő Margó indenütt c. oldaltól fejléc: felül, bal oldalon név, jobb oldalon dátu alul középen

Részletesebben

A Coulomb-törvény : ahol, = coulomb = 1C. = a vákuum permittivitása (dielektromos álladója) k 9 10 F Q. elektromos térerősség : ponttöltés tere :

A Coulomb-törvény : ahol, = coulomb = 1C. = a vákuum permittivitása (dielektromos álladója) k 9 10 F Q. elektromos térerősség : ponttöltés tere : Villamosságtan A Coulomb-tövény : F QQ 4 ahol, Q = coulomb = C = a vákuum pemittivitása (dielektomos álladója) 4 9 k 9 elektomos téeősség : E F Q ponttöltés tee : E Q 4 Az elektosztatika I. alaptövénye

Részletesebben

A REPÜLŐGÉP SZIMULÁTOR ÉS TRENÁZS BERENDEZÉS VIZUÁLIS HELYZET-MODELLEZÉS ELMÉLETÉNEK ÁLTALÁNOS KÉRDÉSEI

A REPÜLŐGÉP SZIMULÁTOR ÉS TRENÁZS BERENDEZÉS VIZUÁLIS HELYZET-MODELLEZÉS ELMÉLETÉNEK ÁLTALÁNOS KÉRDÉSEI A REPÜLŐGÉP SZIMULÁTOR ÉS TRENÁZS BERENDEZÉS VIZUÁLIS HELYZET-MODELLEZÉS ELMÉLETÉNEK ÁLTALÁNOS KÉRDÉSEI Békési László k. ezredes egyetei adjunktus Dr. Szabó László k. alezredes Egyetei adjunktus Zrínyi

Részletesebben

Ellenállásmérés Ohm törvénye alapján

Ellenállásmérés Ohm törvénye alapján Ellenállásmérés Ohm törvénye alapján A mérés elmélete Egy fémes vezetőn átfolyó áram I erőssége egyenesen arányos a vezető végpontjai közt mérhető U feszültséggel: ahol a G arányossági tényező az elektromos

Részletesebben

Hullámtan. Hullám Valamilyen közeg kis tartományában keltett, a közegben tovaterjedő zavar.

Hullámtan. Hullám Valamilyen közeg kis tartományában keltett, a közegben tovaterjedő zavar. Hulláan A hullá fogala. A hulláok oszályozása. Kísérleek Kis súlyokkal összeköö ingsor elején kele rezgés áerjed a öbbi ingára is [0:6] Kifeszíe guiköélen kele zavar végig fu a köélen [0:08] Kifeszíe rugón

Részletesebben

Elektronikai alapgyakorlatok

Elektronikai alapgyakorlatok Elektronikai alapgyakorlatok Mőszerismertetés Bevezetés a szinuszos váltakozó feszültség témakörébe Alkalmazott mőszerek Stabilizált ikertápegység Digitális multiméter Kétsugaras oszcilloszkóp Hanggenerátor

Részletesebben

Kirchhoff 2. törvénye (huroktörvény) szerint az áramkörben levő elektromotoros erők. E i = U j (3.1)

Kirchhoff 2. törvénye (huroktörvény) szerint az áramkörben levő elektromotoros erők. E i = U j (3.1) 3. Gyakorlat 29A-34 Egy C kapacitású kondenzátort R ellenálláson keresztül sütünk ki. Mennyi idő alatt csökken a kondenzátor töltése a kezdeti érték 1/e 2 ed részére? Kirchhoff 2. törvénye (huroktörvény)

Részletesebben

Híradástechikai jelfeldolgozás

Híradástechikai jelfeldolgozás Híradátechka elfeldolgozá 8 előadá: Modeek áu 4 Budapet Dr Gaál Józef docen BME Hálózat Rendzerek é Szolgáltatáokanzék gaal@htbehu Unverzál QAM deodulátor analog aplng rate ybol rate data ybol tng recovery

Részletesebben

Mintavételezés: Kvantálás:

Mintavételezés: Kvantálás: Mintavételezés: Időbeli diszkretizálást jelent. Mintavételezési törvény: Ha a jel nem tartalmaz B-nél magasabb frekvenciájú komponenseket, akkor a jel egyértelműen visszaállítható a legalább 2B frekvenciával

Részletesebben

A szállítócsigák néhány elméleti kérdése

A szállítócsigák néhány elméleti kérdése A szállítócsigák néhány eléleti kédése DR BEKŐJÁOS GATE Géptani Intézet Bevezetés A szállítócsigák néhány eléleti kédése A tanulány tágya az egyik legégebben alkalazott folyaatos üzeűanyagozgató gép a

Részletesebben

Hírközléstechnika 2.ea

Hírközléstechnika 2.ea } Hírközléstechnika 2.ea Dr.Varga Péter János Modulációk 2 Miért van szükség modulációra? hullámokat megfelelő hatásfokkal sugározhassuk ha minden adó ugyanazon a frekvencián sugározna, az eredmény az

Részletesebben

Gyakorlat 34A-25. kapcsolunk. Mekkora a fűtőtest teljesítménye? I o = U o R = 156 V = 1, 56 A (3.1) ezekkel a pillanatnyi értékek:

Gyakorlat 34A-25. kapcsolunk. Mekkora a fűtőtest teljesítménye? I o = U o R = 156 V = 1, 56 A (3.1) ezekkel a pillanatnyi értékek: 3. Gyakorlat 34-5 Egy Ω ellenállású elektromos fűtőtestre 56 V amplitúdójú váltakozó feszültséget kapcsolunk. Mekkora a fűtőtest teljesítménye? Jelölések: R = Ω, U o = 56 V fűtőtestben folyó áram amplitudója

Részletesebben

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján.

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. Szakképesítés, azonosító száma és megnevezése 54 523 02 Elektronikai technikus

Részletesebben

Megoldott feladatok november 30. n+3 szigorúan monoton csökken, 5. n+3. lim a n = lim. n+3 = 2n+3 n+4 2n+1

Megoldott feladatok november 30. n+3 szigorúan monoton csökken, 5. n+3. lim a n = lim. n+3 = 2n+3 n+4 2n+1 Megoldott feladatok 00. november 0.. Feladat: Vizsgáljuk az a n = n+ n+ sorozat monotonitását, korlátosságát és konvergenciáját. Konvergencia esetén számítsuk ki a határértéket! : a n = n+ n+ = n+ n+ =

Részletesebben