Mikroelektronika egyes termikus problémáinak kezelése. Tartalomjegyzék

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Mikroelektronika egyes termikus problémáinak kezelése. Tartalomjegyzék"

Átírás

1 Tartalomjegyzék V. A FREKVENCIA-SZINTÉZER ÁRAMKÖRÖK HŐMÉRSÉKLETÉNEK CSÖKKENTÉSI LEHETŐSÉGEI, KÜLÖNÖS TEKINTETTEL AZ AMBIENT INTELLIGENCE ALKALMAZÁSOKRA 2 1 Bevezetés 2 2 A megvalósítandó áramkör 2 3 A megvalósítás technológiai lehetőségei 4 4 Frekvenciaosztó áramkörök felépítése 5 Állandó osztásarányú frekvenciaosztók 5 Két érték között változatható osztásarányú frekvenciaosztók 6 Állítható osztásarányú frekvenciaosztók 6 Számlálóból felépülő frekvenciaosztó 7 Léptető regiszterből felépülő frekvenciaosztó 7 DMP-ből felépülő frekvenciaosztó 8 5 A megvalósított ECL frekvenciaosztó áramkör 9 6 Az új architekturális megfontolás, a megvalósított SCL frekvenciaosztó áramkör 10 7 agyfrekvenciás digitális logikákban alkalmazott kapcsolások 13 ECL áramkörök 14 SCL áramkörök 15 8 Layout tervek 18 ECL frekvenciaosztó layout terve 19 SCL frekvenciaosztó layout terve 22 9 Összefoglalás Irodalomjegyzék oldal

2 V. A frekvencia-szintézer áramkörök hőmérsékletének csökkentési lehetőségei, különös tekintettel az Ambient Intelligence alkalmazásokra 1 Bevezetés Disszertációm záró fejezetében a nagyfrekvenciás integrált áramkörökben alkalmazott PLL áramkörök fogyasztásának csökkentési lehetőségeivel szeretnék foglalkozni, különös tekintettel a visszacsatoló hurokban található frekvenciaosztó áramkör architekturális és áramköri szintű megvalósításának lehetőségeivel. Ezen áramkör fogyasztásának csökkentése, a hosszabb akkumulátor élettartam illetve a disszipáció, így az integrált áramkör hőmérsékletének csökkentése miatt is fontos. Az áramkör hőmérsékletének nagyon fontos, a tervezés során figyelembe veendő szempontja is van: az egyes blokkok fokozott disszipációja miatt megnövekedett hőmérséklet ugyanis megváltoztathatja a közeli analóg áramkörök tranzisztorainak munkapontjait, így akár meghiúsíthatja az egyes analóg blokkok működését, sőt akár az egész áramkör hibás működéséhez vezethet. Az áramkörök hőmérsékletének csökkentése külön fontossággal bír esetleges emberi test felszínére, illetve az emberi testbe ültetethető szenzorok esetén. Ezen érzékelők a mért adatokat (vérnyomás, pulzus szám, vér oxigén tartalma, szívritmus, EKG jelek, páratartalom, stb.) leggyakrabban valamilyen szabványos, vezeték nélküli kommunikációval (BlueTooth, ZigBee, WLAN) juttatják el a mérési eredményeket a jelfeldolgozó, központi egységhez. A testbe ültetett szenzoroknál a biokompatibilitás nagyon fontos szempont, nem csak a tok anyagának a megválasztása szempontjából, hanem a megengedhető maximális hőmérséklet szempontjából is. Nem utolsó szempont a testbe ültetett szenzor áramkörök tápellátásának a kérdése sem. Meddig működjön egy elemről, mennyiszer kelljen, illetve lehessen cserélni? Kutatómunkám célja egy a frekvencia-szintézer áramkörökben széleskörűen alkalmazott nagyfrekvenciás programozható frekvenciaosztó áramkör megtervezése, megvalósítása volt két különböző integrált áramköri technológián. Külön figyelmet fordítottam a rendelkezésre álló technológia adta lehetőségeket mérlegelve a fogyasztás csökkentésének architekturális és áramköri kapcsolási szintű csökkentésének lehetőségeire. Ebben a fejezetben szeretném bemutatni a frekvenciaosztó áramkörök tervezésénél figyelembeveendő szempontokat, illetve külön ismertetem egy újszerű, fázis-váltós architektúrán alapuló frekvenciaosztó áramkör tervezésének lépéseit, melynek alkalmazásával jelentősen sikerült lecsökkenteni az áramkör fogyasztását, így a frekvencia-szintézer áramkör saját-disszipációból eredő hőmérsékletét is. 2 A megvalósítandó áramkör Rádiófrekvenciás adó és vevő áramkörök legnagyobb fogyasztású részei a legmagasabb frekvencián működő részegységek, mint például a frekvencia-szintézer áramkörök, az adó fokozat teljesítmény erősítője (PA Power Amplifier) és a vevőrész alacsony zajú erősítője (LNA Low Noise Amplifier). A frekvencia-szintézer áramkörök szinte minden esetben fáziszárt hurkos (PLL Phase Locked Loop) felépítésűek. A frekvencia szintézerek feladata a bejövő jelnek és a beállított osztásaránynak megfelelően egy pontos, stabil, nagyfrekvenciás jel előállítása. Ezt a kimenő jelet rádiófrekvenciás átvitel esetén vivőfrekvenciának használják fel. A frekvenciaosztók fő feladata a fáziszárt-hurkos (Phase-Locked Loops) frekvencia szintézerek (V.1. ábra) kimenő frekvenciájának pontos beállítása, behangolása. 2. oldal

3 V.1. ábra Fáziszárt hurkos frekvencia-szintézer áramkör Az európai mobil hírközlő rendszerek felépítése a frekvencia újrafelhasználásának érdekében a cellás elvet követi. A lefedni kívánt területet fürtökre (cluster), a fürtöket hatszögletű cellákra tagolják. Minden cella közepén egy bázisállomás található. A cella lényegében a bázisállomás által lefedett területet jelképezi. A GSM 900 rendszer esetén egy fürtöt 7 cella alkot (V.2. ábra). A rendelkezésre álló frekvenciasávot az egyes fürtökön belül a cellák között szétosztják, így egy fürtön belül, az egyes cellák más-más frekvenciasávot használnak. Így elérhető, hogy az azonos frekvenciasávot használó cellák kellő távolságba kerüljenek, hogy egymás működését ne zavarják. V.2. ábra Egy fürt felépítése (GSM 900/1800 rendszer) Az egyes cellákban lévő bázisállomás és a mozgó illetve hordozható állomás mobiltelefon, 3G kommunikációs eszköz között jön létre rádiófrekvenciás összeköttetés. A földi mobil hírközlő rendszerek 450MHz, 900MHz, 1800MHz illetve az újabb szabványok szerint, főleg szélessávú adatátviteli céllal 2400MHz körüli sávban üzemelnek. A mobilkészülék és a bázisállomás (uplink), illetve a bázisállomás és a mobilkészülék (downlink) közötti kommunikáció két külön frekvencián, egy időben történik, azaz az összeköttetés kétfrekvenciás duplex üzemmódú. E duplex távolság a GSM 900 rendszer esetén f D =45 MHz. A rendelkezésre álló üzemi frekvenciasáv MHz (uplink) és MHz (downlink). A szomszédos csatornák vivőinek a távolsága 200 khz. Így a rendelkezésre álló csatornaszám (125) egy fürtön belül 125. A földi mobil hálózatok időosztásos többszörös hozzáférésű (TDMA) rendszerek. Egy vivő frekvencián megvalósított kommunikációt 8 időrésre (burst) osztják fel. Így egy vivőfrekvencián akár 8 darab folyamatos kommunikáció is folyhat. 3. oldal

4 Egy mobil kommunikációt megvalósító frekvencia szintézernek pontosnak, gyors átállásúnak kell lennie, hiszen összeköttetés közben az állandó kapcsolathoz folyamatosan váltani kell az uplink és a downlink vivőfrekvenciája között. A GSM 900 szabványban 270 frekvenciaugrást (frequency hopping), a Bluetooth átvitelnél akár 1600 frekvenciaugrást is végre kell hajtani másodpercként. A vivőfrekvencia ezen gyors és pontos átállításáért a frekvenciaosztó áramkörök a felelősek. 3 A megvalósítás technológiai lehetőségei Az áramkör tervezésének elkezdése előtt a gyártási technológia kiválasztására van szükség. Ehhez természetesen hozzátartozik a gyártóval történő előzetes kapcsolatfelvétel, melynek során tisztázódik a rendelkezésre álló tervezőrendszerhez illeszthető technológiai csomag (Design-kit vagy Hit-kit) kérdése. Mindenek előtt azonban a megvalósításhoz szükséges minimális csíkszélességű technológiát kell kiválasztani. Mivel nagyfrekvenciás alkalmazásról van szó (1GHz feletti tartomány) így mindenképp törekedni kell a minél kisebb csíkszélességű technológiára. Azonban a legyártott, tokozott és letesztelt integrált áramkör végső árát nagyban befolyásolja az eladni kívánt darabszám és maga a technológiai folyamat költsége. Minél kisebb csíkszélességű technológiát választunk, annál drágább lesz az áramkör legyártatása is. Tipikusan a mobil kommunikáció piaca nagy darabszámban gyártott, de a lehető legolcsóbb eszközöket kívánja. Ezért is a tervezők törekednek ügyes technológia választással, esetleg új architekturális illetve kapcsolástechnikai módszerekkel a megvalósításnak még éppen megfelelő, de kellőképpen olcsó gyártási technológiát kiválasztani és azon megvalósítani a kívánt áramkört. Jellemző, hogy a nagy processzorgyártó cégek mindig a létező legkisebb csíkszélességen (jelenleg 65 45nm CMOS technológia) valósítják meg bonyolult digitális áramköreiket, ami érthető is, hiszen egyre inkább próbálják emelni a működési frekvenciát (bár ez a trend egyre inkább lassul az utóbbi években), egyre több elemet integrálnak egységnyi felületre, párhuzamosítanak, stb. A rendkívül nagy keresletnek és a jó kihozatali aránynak (50 60%) köszönhetően azonban ezen integrált áramkörök ára mégis elérhető magasságban maradnak. Ha jól belegondolunk, egy mai mobiltelefon ára körülbelül megfelel egy ilyen előbb említett processzor árának. Ezért is érthető, hogy egy a vezeték nélküli kommunikációt lehetővé tevő integrált áramköri adó illetve vevő áramkör (transciever áramkör) ára csak a töredéke lehet egy teljes mobil telefon árának. Az ezredfordulón elérhető legkisebb csíkszélességű technológián (180 illetve 130nm) egyszerű CMOS áramköri kapcsolással megvalósíthatóvá váltak volna különböző RF áramkörök, azonban a gyártatás költsége akkoriban elképzelhetetlenül nagy lett volna. Ezért is a tervezők törekedtek régebbi, nagyobb csíkszélességű technológiát választani. Leginkább a 0.6µm BiCMOS (kombinált bipoláris és CMOS) és a 0.35µm CMOS technológiát preferálták. Mindkét technológia választása esetén a legnagyobb frekvencián működő blokkok (PLL hurok egyes részei, az adófokozat kimenetén található teljesítményerősítő és antennaillesztő áramkörök, stb.) közül a nagyfrekvenciás digitális áramköri részleteket normál CMOS logikával nem lehet megvalósítani. A BiCMOS technológia esetén az áramkörök gyors működését, a nagyobb csíkszélességű technológia ellenére a (MOS-FET tranzisztorokhoz képest) nagyáramú bipoláris tranzisztorok alkalmazása teszi lehetővé. A nagyobb áramokkal az ugyanakkora parazita kapacitásokat sokkal gyorsabban lehet kisütni illetve feltölteni. Értelemszerűen kisebb csíkszélességű technológia alkalmazásával magukat a parazita kapacitásokat csökkentjük, melyek ugyanolyan gyors feltöltéséhez vagy kisütéséhez kisebb áram is elegendő. Ezért válik lehetővé kisebb csíkszélességű technológián csak MOS-FET tranzisztorok alkalmazása. 4. oldal

5 Nagyfrekvenciás logikák megvalósítására BiCMOS esetben az ún. Emitter Csatolt Logikát (Emitter Coupled Logic), CMOS esetén pedig a TSPC (True Single Phase Clocking) technikát és a Source Csatolt Logikát (Source Coupled Logic) alkalmazzák. A tanszéki kutatómunkám során mind az ECL, mind a SCL áramköri megvalósítással egyaránt volt lehetőségem foglalkozni. Munkámban nagy segítségemre volt az Integration Hungary Kft. is, nem csak a szakmai tanácsadás szintjén, hanem biztosították mindkét áramkör gyártatásának feltételeit és az első BiCMOS áramkör (ECL alapú) megtervezéséhez szükséges XFAB µm BiCMOS technológiát is a rendelkezésünkre bocsátották. Szerencsére az Elektronikus Eszközök Tanszékének, így az itt tanuló, kutató hallgatóknak is a(z) Europractice Multi-Project Wafer szolgáltatón keresztül lehetősége van hozzáférni és akár prototípus áramköröket gyártatni az Austria Microsystems (AMS) különböző európai egyetemek és kutatóhelyek számára rendelkezésre álló technológiáin. Ezért esett a választás a második (SCL alapú) frekvenciaosztó áramkör elkészítésekor a már kisebb csíkszélességű AMS µm CMOS technológiára. 4 Frekvenciaosztó áramkörök felépítése A frekvenciaosztó áramköröknek többféle csoportosítása létezik. A frekvenciaosztók a működésük során beállítható osztásarányok száma szerint [V.1] az alábbiak lehetnek 1. Állandó osztásarányú frekvenciaosztók Prescaler 2. Két érték között állítható osztásarányú frekvenciaosztók Dual-modulus Prescaler DMP 3. Állítható osztásarányú frekvenciaosztók Presettable Divider, programmable Divider, Divide-by-N circuits a. Számlálóból felépülő frekvenciaosztók b. Léptető regiszterből felépülő frekvenciaosztók c. DMP-ből felépülő frekvenciaosztók Variable Modulus Prescaler, Multi-Modulus Prescaler Pulzus Elnyelő áramkörök Pulse Swallower Circuits Egy másik csoportosítás szerint megkülönböztetünk szinkron és aszinkron frekvenciaosztót. Szinkron frekvenciaosztó esetében az egymásután kötött blokkokat (tipikusan flip-flop egységek) egy közös órajel vezérli, ezért a szinkron frekvenciaosztók ugyan gyorsabb működésre képesek, mint az aszinkron osztók, azonban minden flip-flop a bejövő, maximális órajel frekvenciával működik, ami az áramfelvétel jelentős megnövekedéséért lehet felelős. Aszinkron frekvenciaosztó esetén az n. fokozat kimenete szolgáltatja a következő (n+1). fokozat(ok) leosztott órajelét, így az eredeti órajelhez képest a következő fokozatok órajele pontosan n számú fokozat késleltetését szenvedi el, ami megnöveli az áramkör fázistolását. Mivel a osztót felépítő blokkok nem a maximális frekvenciával működnek, ezért a fogyasztás alacsonyabb, mint szinkron esetben. Állandó osztásarányú frekvenciaosztók A legegyszerűbb frekvenciaosztók egy előre beállított állandó számmal osztanak. Ezt az osztásarányt megváltoztatni sem működés megkezdése előtt, sem működés közben nem lehet. Ezeket az osztókat állandó osztásarányú frekvenciaosztónak nevezzük. V.3. ábra D tárolóval megvalósított frekvenciaosztó 5. oldal

6 A legegyszerűbb frekvenciaosztó (V.3. ábra) egy olyan master-slave D tároló, amelynek a negált kimenete vissza van csatolva a bemenetére, így a kimeneten megjelenő érték órajel periódusonként invertálódik, azaz a bejövő jel frekvenciáját a master-slave D tároló kettővel osztja le. Ezzel a kapcsolási módszerrel végeredményben egy T flip-flopot kapunk. Így n darab T flip-flop összekötésével 1:2 n osztásarány valósítható meg. Két érték között változatható osztásarányú frekvenciaosztók Más frekvenciaosztók esetén a frekvencia osztásának aránya akár működés közben is két előre meghatározott, fix érték között változtatható. Ezeket a frekvenciaosztókat Dual- Modulus Prescaler-nek (DMP) hívjuk. A két érték között változtatható osztásarányú frekvenciaosztó használata esetén az osztásarány két előre megtervezett, rögzített érték lehet. Így a bemeneti jel az osztásarányt kiválasztó jelnek megfelelően lesz leosztva. Ez az osztásarány akár menetközben is változtatható. V.4. ábra DMP blokksémája A bejövő frekvencia kettővel illetve hárommal való leosztása az FF1 és a FF2 jelű D flipflop feladata (V.4 ábra). A V vezérlőjel értéke határozza meg az aktuális osztásarányt. Ha ez az érték 0, akkor kettővel, ha 1, akkor hárommal oszt a frekvenciaosztó áramkör. V.5. ábra DMP állapotgráfja n+ 1 n n+ 1 n n Az V.4. ábrán látható blokkséma alapján felírhatóak a Q1 = Q2 V és a Q2 = Q1 Q2 n összefüggések, amelyekben az egyes tárolók aktuális belső állapotát Q, a következő n+1 állapotát Q jelöli. Az összefüggések alapján felrajzolható az áramkör működését szemléltető állapotgráf (V.5. ábra). Az állapotgráfról leolvasható, hogy ha a DMP működése közben az V jel 1 -re változik, akkor az áramkör még kettes osztásarányban működik egészen addig, amíg a belső állapota el nem éri a 01-t. Ezen állapot elérésekor tér át a DMP hármas osztásarányra, és hárommal osztóként működik addig, míg a V jel aktív 1 szinten marad. Állítható osztásarányú frekvenciaosztók Ha a működés ideje alatt az osztás értéke szabadon változtatható (2-nél több osztásarány), akkor állítható osztásarányú frekvenciaosztókról beszélünk (Presettable Divider). Az ilyen 6. oldal

7 típusú frekvenciaosztóknak több architektúrájukban különböző megvalósítási lehetősége van. Gyakorlati alkalmazások során, ha a frekvenciaosztó áramkörbe bejövő frekvencia túl magas ahhoz, hogy a változtatható osztásarányú frekvenciaosztó fokozat megfelelően működjön, akkor állandó osztásarányú frekvenciaosztót alkalmazunk. Ezáltal a bejövő frekvenciát egy ilyen, magas frekvencián működni képes egyszerű áramkörrel oly mértékben csökkentettük le, hogy az állítható osztásarányú frekvenciaosztó már fogadni tudja ezt a frekvenciájában lecsökkentett jelet úgy, hogy működésében ne essen hiba. A Prescaler áramkörök nemcsak azért tudnak magas frekvencián működni, mert egyszerűbb az áramköri felépítésük, hanem azért is, mert az osztásarány állandó, tehát az átállítás késleltetési ideje nulla. Számlálóból felépülő frekvenciaosztó f be clk clr Számláló Q a Q b Q c Q d A 0 A 1 A 2 A 3 Komparátor B 0 B 1 B 2 B 3 N osztásarány f ki V.6. ábra Számlálóból felépülő frekvenciaosztó Az állítható osztásarányú frekvenciaosztók legegyszerűebb esete az, mikor a frekvenciaosztó áramkör lényegében egy parallel load vagy reset bemenettel rendelkező számlálóból épül fel (V.6. ábra). A számláló a beérkező jel ütemére elkezd felfelé számolni, egészen addig, amíg el nem ér egy előre beállított értéket (N). Ha ezt az előre beállított értéket eléri, akkor a számláló lenullázódik és újraindul (Reset). Így a bejövő jel minden N-edik ütemére jelenik meg egy impulzus a kimeneten, tehát az áramkör N értékkel osztja a bejövő frekvenciát. Másik esetben az áramkör egy beállított N értéktől lefelé számol, és mikor eléri a 0-t, akkor a számlálóba parallel újra betöltődik ez az N érték. Léptető regiszterből felépülő frekvenciaosztó D Q D-FF D Q D-FF D Q D-FF D Q D-FF D Q D-FF D Q D-FF D Q D-FF D Q D-FF f ki f be Párhuzamos betöltés V.7. ábra Párhuzamos betöltésű, visszacsatolt léptető regiszteres frekvenciaosztó A léptető regiszteres (shift register) megoldás esetén egy bemenetére visszacsatolt léptető regiszterbe (V.7. ábra) betöltött bináris értéket (ez határozza meg az osztásarányt) az órajel 7. oldal

8 ütemére (bejövő frekvencia) léptetjük, így a léptető regiszter kimenetén megjelenő jel már a leosztott frekvenciájú jelet szolgáltatja. Például, ha egy 8 elemű lépető regiszterbe értéket töltünk, akkor a bejövő jel frekvenciáját 2-vel fogja leosztani az áramkör. Ez a betöltött érték szabadon változtatható a működés során. DMP-ből felépülő frekvenciaosztó Az olyan állítható osztásarányú frekvenciaosztókat, amelyek csak két érték között változtatható frekvenciaosztókból épülnek fel, Variable Modulus vagy Multimodulus Prescaler-nek nevezzük. DMP-ből felépülő frekvenciaosztó áramköröket két üzemmódban lehet használni. Számláló típusú üzemmód esetén az egymás után kötött DMP fokozatok osztásarányainak a szorzata adja a teljes frekvenciaosztó áramkör osztásarányát (V.8. ábra). Ha a bemenő jel frekvenciája f be akkor a kimenő jel frekvenciája f be ki =, N M X f Y ha N az éppen X értékkel osztó, M az éppen Y értékkel osztó DMP fokozatok száma. A V.8 ábrán látható kapcsolás esetén az osztásarány 16, 24, 36, 54 és 81 lehet. Például, ha a 2/3 DMP közül 3 db hárommal való osztásra, 1 pedig kettővel való osztásra van beállítva, akkor a kimeneti frekvencia értéke f f be = ki = f be 54 Látható, hogy a bemeneti jel az osztásarányt kiválasztó jelnek megfelelően lesz leosztva. Ez az osztásarány akár menetközben is változtatható a lehetséges fix értékek között. osztásarány 2/3 2/3 2/3 DMP 2/3 DMP 2/3 2/3 2/3 DMP 2/3 DMP f be IN OUT IN OUT IN OUT IN OUT f ki V.8. ábra DMP-ből felépülő frekvenciaosztó A Variable Modulus frekvenciaosztó áramkörök egyik vállfaja a pulzus elnyelő (pulse swallower) típusú frekvenciaosztók. Ezen frekvenciaosztók működése során egy előre meghatározott számú ütem elérésekor (N) jelenik meg az áramkör kimenetén egy impulzus. Ha működése során ezen ütemek közül B darabot elnyelünk, akkor az áramkör kimenetén megjelenő jel pontosan F ütemmel késleltetve jelenik meg, tehát az osztásarány N+B-re fog változni. Ez az B érték menetközben változtatható, így nagyon rugalmas, gyorsan állítható frekvenciaosztókat lehet megvalósítani. Az ilyen típusú frekvenciaosztókban található DMP blokkok azonban periódusonként csak egy impulzust nyelhetnek el. Ezért biztosítani kell, hogy az osztásarány választó bemenetükön olyan impulzus jelenjen meg, hogy 1 legyen, mikor a DMP már 01 állapotba kerül, és 0 legyen, mire az áramkör újra eléri működése során ezt a 01 állapotot (lásd. V.5. ábra) 8. oldal

9 5 A megvalósított ECL frekvenciaosztó áramkör A V.9. ábrán látható a BiCMOS technológián tervezett teljes ECL frekvenciaosztó blokkdiagramja, mely a bejövő jelet 64 és 71 között változtatható értékben tudja leosztani. Az áramkör három jól elkülöníthető részből épül fel: vezérlő logika, három D tárolóból álló állandó osztásarányú fokozat és három DMP egységből felépülő változtatható osztásarányú fokozat. V.9. ábra A frekvenciaosztó felépítése Az egyes DMP fokozatok pulzus elnyelő tulajdonsággal rendelkeznek megfelelő vezérlés esetén. Az áramkör 64-gyel oszt, ha a DMP fokozatok osztásaránya kettő. Ha az első DMP osztását egy ütem idejére átállítjuk háromra, és utána visszaállítjuk kettes osztásra, akkor az áramkör 65-tel osztja le a bejövő jelet, egy ütemet elnyel. Ha csak a második DMP fokozat osztásarányát állítjuk át háromra, akkor két ütem lesz elnyelve, hiszen a kettővel leosztott bemenőjelből nyel el egy pulzust. A harmadik DMP fokozat pedig négy ütemet nyel el. Így a vezérlések különböző kombinációjában a három darab DMP 0-7 ütemet nyelhet el, azaz az osztásarány 64 és 71 között szabadon állítható. A pulzus(ok) elnyelésének nem kell feltétlenül pontosan a teljes periódus elején vagy végén megvalósulnia. Egy perióduson belül bármely pulzus elnyelésével a kívánt osztásarány elérhető. Az osztásarány időben történő megváltoztatásáért az áramkör vezérlő egysége felel. Ez a vezérlő logika érzékeli a periódus végét, és különböző vezérlő jeleket küld az állítható osztásarányú fokozatoknak. E jelek hatására a fokozatok a kívánt osztásaránynak megfelelően elnyelnek, illetve nem nyelnek el egy-egy ütemet. A DMP fokozatok osztásarányt kiválasztó bemenetére (2/3) érkező jelnek olyan szélesnek kell lennie csak annyi ideig kell átállítani a fokozatot kettőről hármas osztásarányra, hogy az áramkör csak egy impulzust nyeljen el. Ez a kívánt szélesség azonban az egyes fokozatoknál különböző a frekvencia függvényében, és még függ a fokozat aktuális állapotától is, hiszen a DMP fokozat csak a 01 állapotából tér át egy olyan állapotba, amikor a pulzus elnyelés megtörténik. Az egyes DMP fokozatok EN bemenetére az egyes fokozatok periódusidejének ( T = 1/ f, f az egység működési frekvenciája) figyelembevételével, olyan széles impulzus érkezik, hogy a 2/3 DMP egységnek legyen ideje áttérni hármas osztásarányra, elnyelni pontosan egy impulzust és visszatérni kettes osztásarányra. A DMP fokozatok illetve az állandó osztásarányú fokozatban lévő D tárolók számának változtatásával az áramkör osztásarány tartománya szabadon változtatható. Ha az állandó osztásarányú fokozatok száma M, a DMP fokozatok száma N, B pedig az elnyelt pulzusok száma (0 2 N -1 közötti érték), akkor a kimeneti frekvencia az alábbiak szerint alakul: 9. oldal

10 f ki = f be f be f be f be = = + + ; N M N M N+ M N+ M N 2 2 B 2 B Így a minimális osztásarány 2 N+M, ami jelen esetben 64, míg a maximális osztásarány 2 N+M + B, jelen esetben 71 lehet. Megfigyelhető, hogy a DMP fokozatok számával a B értéktartományát tudjuk változtatni. A DMP és az állandó osztásarányú fokozatok együttes száma pedig meghatározza az alap osztásarányt. A fogyasztás csökkentése érdekében azonban törekedni kell a DMP fokozatok minél kisebb számára, mivel egy DMP áramkör több tárolót és logikai kaput is tartalmaz, így fogyasztása jóval nagyobb, mint egy egyszerű D vagy T flip-flopnak. Természetesen hasonló pulzuselnyelő áramkörökben nem csak 2/3 DMP fokozatokat lehet alkalmazni. Irodalomkutatásaim során azonban pulzus elnyelő frekvenciaosztó áramkörökben csak 2/3 DMP alkalmazásával találkoztam és csak elvétve láttam 4/5 DMP blokkokból felépülő frekvenciaosztót. A 4/5 DMP fokozatok alkalmazása esetén a kimeneti frekvencia az alábbiak szerint módosul: f = be be be ki ; N M N M N M N 1 4 f 2 f = + B f + 4 Látható, hogy az áramkör funkcionálisan megegyező cellákból épül fel, amelyek különböző frekvencián működnek. Az alacsonyabb frekvencián működő celláknak a megfelelő működéshez kevesebb áram is elegendő. A minimális fogyasztás elérése érdekében az egyes cellák áramait külön-külön kell meghatározni úgy, hogy a vizsgált fokozat megfelelő jelszint-regenerálási tulajdonsággal rendelkezzen. 6 Az új architekturális megfontolás, a megvalósított SCL frekvenciaosztó áramkör Pulzus elnyelő frekvenciaosztó áramkörök általában DMP blokkokból épültek fel, melyek megfelelő vezérlésével különböző osztásarányt lehetett elérni. A [V.7][V.8] publikált elgondolás alapján, azonban a DMP egységekből felépülő pulzus elnyelő frekvenciaosztó áramköröket leváltotta egy új architektúrán alapuló ún. fázisváltós technikát alkalmazó kapcsolás. Ebben a publikációban a módszer alapjait mutatják be, egy egyszerű 15/16 illetve 31/32 között változtatható osztásarányú osztó áramkörön. Ezekből a publikációból merített alapötletből kiindulva egy új architektúrán alapuló frekvenciaosztó kapcsolást dolgoztam ki. Az osztásarány menet közbeni megváltoztatása továbbra is a pulzus elnyelés jelenségén alapul, akárcsak a két érték között állítható osztásarányú blokkokból felépülő frekvenciaosztók esetén. A különbség csupán abban rejlik, hogy egyszerre több darab, fázisban eltolt, azonos periódusidejű jelet állítunk elő, és megfelelő vezéréléssel ezek között kapcsolgatva érjük el egy adott pulzus elnyelését. A bejövő nagyfrekvenciás jel először egy statikusan kettővel osztó master-slave T flip-flop áramkörbe kerül (V.10. ábra). Látható, hogy szemben DMP fokozatokat alkalmazó megvalósítással itt a bejövő, legmagasabb frekvencián csak egyetlen flip-flop áramkör működik. A bejövő jel 3GHz frekvenciájú, a kettővel osztás után a T flip-flop kimenetén 1.5GHz frekvenciájú jel jelenik meg. Ezt a kettővel osztott jelet egy újabb T flip-flopba vezetjük, azonban a második flip-flop master és slave kimenetét külön-külön is kivezetem. Mindkét kivezetésre egy-egy újabb T flip-flopot kapcsolunk. A harmadik fokozat két T flip-flopja a 0.75GHz bemenő frekvenciát még kettővel leosztja, így a kimenő jelek frekvenciája az eredeti bemeneti frekvenciának a nyolcada. 10. oldal

11 V.10. ábra A nyolc fázisjelet előállító( ), nyolccal osztó áramkör blokkdiagramja A második master-slave T flip-flop kivezetett master és slave kimenete közül mindkettőn az eredeti bemenő órajel frekvenciájának fele jelenik meg, de mivel az egyik fokozat a felfutó órajel élére vált, míg a másik a lefutóra, a két jel között pontosan 90 fázistolás lép fel. Ez azt jelenti, hogy a második kettővel osztó áramkör master és slave kimenetére kapcsolt T flip-flopok időben elcsúszva dolgoznak. Az utolsó fokozatok ezt a két bemenő jelet osztják tovább kettővel. A kettővel osztás miatt a két utolsó fokozat megegyező jelalakú kimenő jelei egymáshoz képest 45 fázistolást mutatnak. A flip-flopokból mind a master, mind a slave ponált és negált jelét is kivezetjük. A végeredmény: nyolc darab jel, melyek frekvenciája a bemeneti jel frekvenciájának pontosan a nyolcada (375MHz), azonban fázisban egymáshoz képest egy nyolcad periódussal eltolva. A nyolc fázisjelet egy multiplexerbe vezetjük. Ez a multiplexer a nyolc jelből mindig csak egy meghatározott jelet enged tovább a kimenetére. Ha a címző biteket működés közben nem változtatjuk meg, akkor a multiplexer folyamatosan ugyanazt a jelet engedi tovább. Így gyakorlatilag a bemeneten lévő osztók és a multiplexer áramkör egy statikus nyolccal osztó kapcsolást eredményez. Ha azonban működés közben a címző biteket úgy változtatjuk meg, hogy a kiválasztott jel lefutó élének hatására egy periódus alatt a multiplexer a szomszédos, időben késleltetett fázisjelre ugorjon át működés közben, akkor a kimeneten megjelenő periódusidő megnyúlik. Mivel az időeltolás a két fázisjel között éppen egy bemeneti órajel ciklus idejével egyezik meg, nyolc helyett pontosan kilenc órajel hosszúságú periódusidőt kapunk a multiplexer kimenetén. Minden periódusban egyet léptetve az osztót folyamatosan kilenccel osztó üzemmódban működik (V.11 ábra). Ezzel tehát egy 8/9 között változtatható osztásarányú frekvenciaosztót kaptunk. V.11 ábra: fázisváltás: osztás kilenccel A multiplexer címző vezetékeit egy nyolcbites shift regiszter kimenetével vezéreljük. A visszacsatolt shift regiszter tartalmát induláskor úgy töltjük fel, hogy az első cellában egyes, a többiben pedig nulla logikai érték legyen. Ezt az egyes értéket, mint egy token 11. oldal

12 jelet a működés során folyamatosan körbeléptetjük. Ez a token jel határozza meg, hogy a nyolc fázisjel közül melyik legyen éppen kiválasztva, melyik jelenjen meg a multiplexer kimenetén. A shift regiszter élvezérelt működésű, a multiplexer kimenetéről érkező lefutó órajel hatására léptetjük a tartalmát, ha fázisjelet kell váltani. Azonban nem minden esetben kell váltani az egyik fázisjelről a másikra, csak akkor, ha azt szeretnénk, hogy 9-el osszon az áramkör. Amikor nyolccal osztóként működtetjük, az éppen kiválasztott fázisjelet kell a kimenetre engedni. A bemeneten található 8/9 osztót megfelelő vezérléssel ellátva egy 64/71-es osztót tudunk megvalósítani. A V.1. Táblázat szerint, ha a vezérelhető osztót folyamatosan nyolccal osztóként használjuk nyolc perióduson keresztül, akkor lényegében egy 64-el osztó áramkört kapunk. Ha a nyolc periódusból egynél kilenccel osztóként, a maradék hét esetén újra nyolccal osztóként viselkedik az áramkör, akkor tulajdonképpen egy órajelperiódussal megnyúlik a nyolc teljes periódushoz tartozó idő, és 64 helyett 65 órajel időt tudtunk vele megszámolni. Ez lényegében azt jelenti, hogy minden hatvannegyedik órajel után egy pulzust elnyeltünk a bemenetről. Ugyanígy, ha hét perióduson át kilenccel osztóként, egy periódus erejéig pedig nyolccal osztóként üzemeltetjük az osztót, a nyolc periódushoz 71 órajelre van szüksége. Itt is igaz az, hogy a pulzus elnyelésének pontos időpontja egy perióduson belül teljesen mindegy az áramkör működése szempontjából. Osztásarány Vezérlőjelek A2,A1,A0 A shift regiszter logikai tartalma Kimeneti frekvencia MHz MHz MHz MHz MHz MHz MHz MHz V.1. Táblázat Az osztásarányok vezérlőjelei és a kimeneti frekvencia értékei. Hogy az áramkört mikor kell nyolccal osztóként működtetni, és mikor kilenccel osztóként, azt a vezérlőáramkör fogja meghatározni. Az áramkör három bites bemenetén tudjuk meghatározni a kívánt osztásarányt. A 8/9 osztó vezérlőjelét egy nyolc bites shift regiszter bemenetére kötjük. A shift regiszter kimenetét visszacsatoljuk az első cella soros bemenetére, így a beírt bitek körbe forognak léptetéskor. Ha a regiszter kimenetén egyes van, akkor a következő periódusban a 8/9 osztó kilenccel oszt, míg nulla esetén 8-al. Ha a shift regiszterben az összes cella értéke nulla, akkor a 8/9 osztó nyolc perióduson keresztül 8-al oszt, vagyis a regiszter egy teljes körbefordulása 64 bemenő órajel ciklus alatt történik meg. Ha a regiszterben egy egyes van, a 8/9 osztó egyszer 9-el, hétszer pedig 8-al oszt, =65, tehát egy teljes körbeforduláshoz 65 órajelciklusra van szükség, és így tovább. A teljes osztó három vezérlőbitje ennek a nyolcbites shift regiszternek a celláit tölti fel induláskor nullákkal és egyesekkel. A nyolc cella közül pontosan annyiban találunk 12. oldal

13 egyeseket, ahány periódus alatt kilenccel osztóként kell működtetni a multiplexeres osztót. Ha a shift regiszter tartalmát az osztó kimenetének felfutó élére léptetjük, a shift regiszteren kicsorduló bitek éppen a multiplexeres osztó következő periódusának működését írják elő: ha egyes bit kerül a shift regiszter kimenetére, akkor a 8/9 osztónak a következő periódusban (lefutó élre) egyet lépnie kell, míg ha nulla érkezik, rajta marad a korábban kiválasztott fázisjelen, és nem lép tovább. Minden léptetés egy pulzus elnyelését jelenti. A 8/9 osztó kimenetét még nyolccal kell osztani statikusan, hogy a végső 64 és 71 közötti számmal osztott frekvencia előálljon. Ezt a nyolccal osztást egy három bites aszinkron számláló végzi. Az aszinkron számláló legfelső bitje (egy meghajtó inverterláncon felerősítve) szolgáltatja az osztó kimeneti jelét, melyet mérési célokból ki is vezettem a chipből. Azonban ennek a számlálónak más szerepe is van. Hogy a chip a 64-től 71-ig terjedő skálán éppen melyik üzemmódban dolgozzon, azt a három vezérlő bemeneten (A2,A1,A0) adott bináris kombinációval határozhatjuk meg. Ez a kombináció az osztásarányért felelős shift regiszterbe induláskor betöltésre kerül. Ezt a shift regisztert visszacsatolva használjuk, az induláskor beírt adat az áramkör működése során a léptetőregiszterben folyamatosan körbeforog. Azonban különböző szabványos nagyfrekvenciás kommunikáció esetén, szükséges, hogy a frekvencia szintézer gyorsan és gördülékenyen át tudjon váltani egyik vivőfrekvecniáról a másikra, azaz meg kell valósítani a frekvencia ugratást, másodpercenként akár több ezerszer is. Ez a váltás a frekvenciaosztó osztásarányának átállításával valósulhat meg, amit itt a shift regiszter tartalmának átírása jelent. Az adatot újratölteni a shift regiszterben csak akkor lehet, ha egy teljes körbefordulást követően a bitsor éppen visszaért a kezdeti pozíciójába, tehát minden periódus végén. A shift regiszter üzemmódjai között (betöltés, vagy léptetés) egy vezérlő bemenet segítségével tudunk váltani. Erre a vezérlőbemenetre a számláló kimenetét rákötve gyakorlatilag minden bejövő órajel periódusonként lehetségessé válik az osztásarány megváltoztatása. V.12. ábra: teljes architektúra 7 agyfrekvenciás digitális logikákban alkalmazott kapcsolások A gigahertzes frekvenciatartományban működő digitális integrált áramkörökben a jelek már közel sem hasonlítanak az alacsony frekvenciákon megszokott négyszögjelekhez. Ez abból adódik, hogy az áramkörök már nem tudják követni a jelek gyors változásait. A működés határához közeledve a jelek egyre inkább hasonlítanak a több szinuszos komponenst tartalmazó jelekhez, úgymond lekerekednek. Ez a jelenség annak tudható be, hogy a konstans feszültségű szakaszok (0 és 1 szintek) egyre rövidebbek lesznek, és végül 13. oldal

14 a tranziens fel és lefutások összefolynak. A jeleket ugyan továbbra is digitális szemszögből értelmezzük nullának vagy egynek, de az ilyen nagyfrekvenciás áramkörök működését már csak analóg módszerekkel, áramköri szimulációval tudjuk megfelelően vizsgálni. Nagyon jó összehasonlítást jelent, ha átgondoljuk, hogy míg 100 MHz-en üzemelő digitális áramkörök esetén ha például a fel- és a lefutási idő 0.5ns, akkor a fél periódus ideje alatt 4.5ns-ig a jel szintje konstans. Magasabb frekvenciákon pl. 1 GHz esetén 0.5ns tranziens éppen a teljes periódusidő felét jelenti! Nagyfrekvenciás digitális áramkörökben a jeleket az egyes fokozatok, áramköri egységek között szinte kivétel nélkül differenciális formában vezetik. Ennek számos előnye van, többek között ezzel sikerül növelni a közös módusú jelelnyomás okozta zajérzéketlenséget. Ugyanakkor, jelváltáskor ugyanaz a keresztirányú áram folyik tovább, csak átterelve a másik ágba, tehát nem jelennek meg az áramkör áramfelvételében éles áramcsúcsok, és ezzel a zajt is sikerül csökkenteni. ECL áramkörök Az 1 2GHz frekvencián működő áramkörök megvalósítására Si hordozón BiCMOS technológia esetén az ECL (Emitter Coupled Logic) technika kínál a fogyasztás szempontjából elfogadható lehetőséget. Az ECL áramkörök a nagy sebességet két eszközzel érik el. V.13. ábra ECL alapkapcsolás Az egyik elv az, hogy a bipoláris tranzisztorok működésük során nem mennek telítésbe, azaz végig aktív tartományban maradnak, ezáltal gyors átkapcsolásra képesek (köszönhetően annak, hogy a kollektor-bázis dióda végig zárva marad, így nem kell számolni a diffúziós kapacitással). A másik sebességfokozó gondolat a logikai szintek közötti különbség jelentős csökkentése. Így a viszonylag nagy árammal működő eszközök a terhelő és egyéb parazita kapacitásokat gyorsan tölthetik illetve kisüthetik logikai szint váltásakor. A jelek kis szintkülönbsége ugyan növeli a zajérzékenységet, viszont fontos előnye, hogy az egyébként is meglévő kapacitásokat ugyanakkora árammal rövidebb idő alatt lehet áttölteni, így tehát rövidül a tranziens. Az ECL áramkörökben a jelterjedés differenciális formában történik. A két ellentétes fázisú jel miatt az áramkör sokkal zavarvédettebb. A V.13. ábrán látható kapcsolásban a két bipoláris tranzisztor ellenütemben működik. Amikor a T 1 tranzisztor kinyit (U be1 >U be2 ), akkor a közös áramgenerátor áramának jelentős része ezen a tranzisztoron keresztül folyik. Ez az áram az R C kollektor ellenálláson feszültséget ejt. Emiatt a kimeneteken az U 1 = V I R, U 2 = V feszültségek KI CC E C KI CC 14. oldal

15 adódnak, tehát a kapcsolás egy invertert valósít meg. V.14. ábra ECL D tároló A V.14. ábrán egy ECL D tároló kapcsolási rajza látható. A közös áramgenerátor árama az órajeltől függően vagy T 5 vagy a T 6 tranzisztoron folyik át. Ha a T 5 tranzisztor vezet (U C1 >U C2, azaz az órajel logikai 1), akkor az U D1 és U D2 bemeneti feszültségektől függően vagy a T 1 vagy a T 4 tranzisztoron folyik az áram, így a kimenet felveszi a bemenet értékét. Ellenben ha a T6 tranzisztor nyit ki (U C1 <U C2, azaz az órajel logikai 0), akkor a tároló az előző kimenet értékét tárolja, mivel a T 2 és a T 3 tranzisztort az ellentétes kimenet vezérli (keresztbecsatolás). SCL áramkörök Az SCL áramkörök esetén is differenciális formában történik a jelterjedés. Hasonlóan az ECL logikákhoz, itt is kis jelszinteket alkalmazunk, aminek következtében ugyan nő a zajérzékenység, de az egyes logikai szintek között áttöltési idő jelentősen lecsökken. Akárcsak ECL logikák esetén az SCL logikák esetén is gyakorlatilag a logikai funkciók megvalósítását az áram folyásirányának a lehetséges áramutak között történő megválasztásával, átkapcsolásával lehet elérni. Így a kapcsolási tranziensekből eredő kis zaj és azzal tisztább spektrum érhető el, ami a különböző rádiófrekvenciás alkalmazásoknál nagyon fontos. Általában az érzékeny analóg részeket a tervezés során próbáljuk egymástól a lehetőségek szerint távolra helyezni, illetve elszigetelni a zajforrásként tekinthető digitális áramkörtől. Az SCL család áramkörei ebből a szempontból is előnyösek. 15. oldal

16 V.15. SCL inverter kapcsolás A V.15. ábrán látható egy SCL alapinverter kapcsolás. A T5 tranzisztor az áramgenerátor szerepét tölti be a kapcsolásban. Ennek a tranzisztornak a működés során végig a nagy kimenő ellenállású, elzáródásos tartományban kell működnie. Az áramgenerátor kimeneti ellenállásának a növelése érdekében javított áramtükör kapcsolást lehetne alkalmazni, viszont az alacsony tápfeszültség (1.8V) miatt erre nem nyílik lehetőség. A T3 és T4 tranzisztorok Gate elektródájára érkező jelnek megfelelően az áram vagy az egyik ágban (R 1 -n keresztül), vagy a másik ágban (R 2 -n keresztül) fog folyni. Ennek megfelelően az egyik kimenet V DD tápfeszültségen, a másik kimenet pedig V DD -I BIAS R feszültség értéken lesz. Látható, hogy a differenciális kimenet két aszimmetrikus jele közötti feszültségkülönbség a terhelő ellenállás értékétől és az átfolyó áramtól függ. Fontos megjegyezni, hogy a két terhelő ellenállás értékének meg kell egyezni! A terhelő ellenállásokat gyakran poliszilíciumból szokták megvalósítani. Ennek nagy előnye, hogy a hőmérsékletváltozásra történő értékváltozása nagyon jól leírható és szimulálható, valamint integrált áramkör felületén egyforma értékű ellenállás csíkok nagyon jól és pontosan megvalósíthatók. Hátránya azonban, hogy nagy a területfoglalása és körülbelül ±20% mértékű szórást szenvednek el a gyártástechnológiai szórások miatt. Nagyfrekvenciás működés esetén az R 1 és R 2 ellenállásnak a megbízható működés érdekében nagyon pontosnak kell lennie. Ez indokolja R 1 és R 2 helyett trióda tartományban működő pmos tranzisztorok(at) szoktunk alkalmazását terhelő ellenállásként [V.7]. A T3 és T4 tranzisztorok Gate elektródájára mindig valamilyen tápfeszültség közeli jel érkezik. Emiatt ezek a tranzisztorok szinte mindig elzáródásos tartományban vannak. Ebben az esetben az átfolyó áram kvázi maximális (ami jó esetben az áramgenerátor áramával egyezik meg). Azaz a V DS V GS -V THn feltételnek teljesülnie kell. Legrosszabb esetben V DS a legkisebb értékét veszi fel, a V GS pedig a legnagyobb értékét [V.10], azaz: V V V 2 SWki DS = VD - VS = VDD - R IBIAS= VCMki - Vs és V 2 SWbe GS = VG - VS = VDD - R IBIAS= VCMbe+ Vs, ahol V CMki a kimenet, a V CMbe a bemenet közösmódusú feszültsége. Ebből kifejezhető az alábbi összefüggés, ha a V CMbe =V CMki, és A az áramkör feszültségerősítése, akkor 16. oldal

17 V 2 1+ A SWbe V THn. Ha a ki és bemeneti differenciális jelkülönbség egyforma, akkor A =1. Így egy felső becslést adhatunk a differenciális jelkülönbségre, azaz a technológiától és a hőmérséklettől függő nmos tranzisztor küszöbfeszültségénél kisebb, a zajoknál nagyobb jelszint szükséges. Nyílván minél kisebb a feszültségváltozás, annál gyorsabb az áramkör A kapu késleltetésének becsléséhez [V.10] induljunk ki a kimenet (Y kimenet V ki feszültsége) időtartománybeli lefutásának egyenletéből. Ez az egyenlet azt a pillanatot írja le, amikor hirtelen a T4 tranzisztor kinyit és az áram elkezd folyni a terhelő ellenálláson keresztül (ami most épp egy pmos tranzisztor) azaz -t R L V = C ki ki VDD - VSWki 1- e, ahol R ki a kimeneti ellenállás, C L pedig a kimenetet terhelő kapacitások összessége (Source Gate, Drain Source átlapolódás, diódák kapacitásai, szubsztrát kapacitás, kimeneti vezeték kapacitása, következő fokozat bemeneti kapacitásainak az összege). A késleltetés (kapcsolás pillanatától amíg V ki =V DD -0.5 V SWki ) felírható a következő összefüggéssel: t D = Rki CL ln 2 A kimeneti ellenállás értéke meghatározható a feszültségváltozás és az áramváltozás hányadosával, azaz V I SWki R ki =. BIAS A T4 tranzisztoron átfolyó áram megegyezik a T5 áramgenerátor áramával, azaz K W 2 I BIAS = (U GS - VTHn ). 2 L A g m meredekség kifejezhető a g m di = du D GS = W K L összefüggéssel és 1 R I = BIAS g m, ki VSWki ( U - V ) GS THn minthogy U GS -V THn = V SWki, így t D 2 = K L W C V L SWki ln2 I BIAS U - V GS THn Mindebből következik, hogy a késleltetés csak a L, µ, C ox értékeitől függ. Hogy a késleltetés minél kisebb legyen, V SWki értékének a lehető legnagyobbnak kell lennie (felülről korlátozza (a) V THn ). Mivel az áramkör késleltetése nem függ az áramtól, így az áram értékének megválasztása a 17. oldal

18 fogyasztástól és a terhelő ellenállások helyfoglalásától függ (mekkora pmos tranzisztorokat helyezzünk el). Lehetőség szerint a terhelést megvalósító pmos és az nmos tranzisztorok csatornahosszúságát a technológiai minimumon valósítsuk meg, mert így csökkenthető a parazita kapacitás értéke, viszont így növekszik a technológiai szórásra való érzékenység. SCL áramkörökben a fogyasztás csökkentése érdekében mindig alacsony tápfeszültséget (1,8V) alkalmazunk. Ezért is van az, hogy nem alkalmazunk javított vagy kaszkód áramtükör kapcsolásokat, mert ebben az esetben az áramgenerátor U gen feszültsége túl magas lenne, és a felette lévő tranzisztorok működését (differenciál pár, ellenállást megvalósító tranzisztorok) erősen zavarná. Amint látható, az SCL áramkörök működése az analóg működéssel van közvetlen kapcsolatban. Ennek megfelelően a méretezés analóg módon történik, munkaponti számításokkal és tranziens szimulációkkal. Komoly gondot kell fordítani a hőmérséklet hatásainak és a technológia szórásának a figyelembevételére is. Az alapok tisztázása után az ECL áramkörökhöz hasonlóan felépíthetünk bonyolultabb logikai funkciót megvalósító áramköröket. Frekvenciaosztó megvalósításához, mindenképp szükségünk lesz D tárolókra, melyekből felépíthető egy T master-slave flipflop (V.16. ábra) V.16. ábra SCL T master-slave flip-flop 8 Layout tervek A layout megtervezése során az integrált áramkör gyártásához szükséges maszkok rajzai állnak elő. Lényegében ekkor történik meg az integrált áramkör végső fizikai terveinek az elkészítése. Nagyfrekvenciás integrált áramkörök tervezésénél számos egyéb tervezési szempontot kell figyelembe venni. Nagyon fontos ügyelni arra, hogy azon vezetékeket, amelyeken nagyfrekvenciás jelek terjednek, a lehető legmesszebb vezessük a szubsztráttól, ezzel is csökkentve a parazita kapacitások értékét, ezzel is csökkentve a jelterjedési időt. Fontos, hogy ezen vezetékeket ne vezessük át más tranzisztorok Gate elektródája felett, mert a 18. oldal

19 kapacitív csatolás következtében azok hibás működéséhez vezethet (eltolódhat a munkapont, kinyithat a tranzisztor). Differenciális formában terjedő jeleket szimmetrikusan, ugyanazon a jelúton, ugyanazokon a rétegeken, ugyanannyi átmenettel (kontaktusok, viak) vezessük egymás mellett, hogy rájuk a különböző környezeti hatások, esetleg más tranzisztorok kapcsolásából eleredő zavarok egyformán hassanak. Ezen zavarok szerencsére a közös módusú jelelnyomás miatt nagy részben kiszűrődnek az ECL illetve SCL kapuk bemenetein. A két (szomszédos) fémréteg közötti parazita kapacitás értéke kétszer nagyobb, mint a felső fémréteg és a szubsztrát között lévő parazita kapacitás értéke, ezért el kell kerülni, hogy a felső fémrétegen haladó vezetékek együtt fussanak. Érdemes legalább egy csíkszélességnyi távolságot hagyni a két fémrétegen haladó vezeték között, hogy még az oldalfalak közötti szórt kapacitás értéket is csökkentsük. Ez különösen fontos BiCMOS technológia alkalmazása esetén, amikor a bipoláris tranzisztorok bázis és kollektor kivezetéseinél, ha a két vezeték egymás felett halad, nagyon nagy lesz a Miller-kapacitás, ami jelentősen lassíthatja a bipoláris tranzisztorok, így az egész áramkör működését. Fontos, hogy minden tranzisztort egyforma irányítottsággal helyezzük el, mivel a különböző kristálytani irányokban más és más a töltéshordozók mozgékonysága. A technológiai szórások nemkívánatos hatásainak elkerülése végett szerencsés, ha a különböző elemeket (tranzisztorok, ellenállások) hasonló tájolással helyezzük el. Ha két egyforma elemet nem egyforma irányítottsággal helyezünk el, akkor a technológiai szórások (maszk illesztés hibája, alámaródás, stb.) miatt például az egyik áramköri elem szélessége (W), míg a másiknak a hossza (L) változik. Layout tervezés során a bottom-up tervezési metodikát alkalmazzuk, azaz először az egyes cellák layout rajzát tervezzük meg, majd ezeket felhasználva, egymás mellé rakva és összehuzalozva elkészül a teljes áramkör layout rajza. ECL frekvenciaosztó layout terve Az egyes cellák magassága (132 µm), tápsínek elhelyezése, vastagsága, a cellákat felépítő alkatrészek elhelyezése azonos. A földsín és a tápsín vízszintesen húzódik végig a cellán, és minden cellánál az azonos szélességű vezeték azonos magasságban halad. Így ha a cellákat egymás mellé helyezzük, akkor a cellák tápellátása automatikusan megoldott. A cellán belül legfelül vannak az ellenállások, alattuk a bipoláris tranzisztorok és ezek alatt az áramgenerátorokat megvalósító MOS tranzisztorok (V.17. ábra). A földsín és a MOS áramtükrök tranzisztorait vezérlő jelsín (referencia feszültség) közé minden cellánál legalulra még egy kapacitás került, aminek a szerepe az, hogy a vezérlő jelre kerülő esetleges nagyfrekvenciás változásokat szűrje. Értéke 1-2 pf nagyságrendű. 19. oldal

20 Ellenállások Bipoláris tranzisztorok Áramgenerátorok Kondenzátorok V.17. ábra A cella felépítése A zajok elleni további védelem a cellát körülvevő keretdiffúzió. Ez egy erősen adalékolt p- típusú diffúzió. Ez a diffúziós csík körbeveszi a cellát, és a legnegatívabb feszültségű pontra, azaz a földre van kötve. Szerepe az, hogy a szubsztrát felé injektált áramokat összegyűjtse, és a földbe vezesse elkerülve ezzel, hogy egy adott cella a szomszédos cella működését megzavarja. Ez főleg az analóg és digitális, azaz a vegyesjelű-áramköröknél (Mixed-Signal) nagyon fontos, mert a gyors digitális áramkörök sűrű jelváltásai megzavarhatják az analóg áramkörök működését. A cellán belüli összeköttetéseket a nagy működési sebesség miatt lehetőség szerint fémrétegen valósítottam meg. A fémcsík késleltetése a kis négyzetes ellenállás és a szubsztrát felé fellépő kis parazita kapacitás miatt elhanyagolható, míg egy diffúziós vagy poliszilícium vezeték a fémhez képest jelentős késleltetéssel rendelkezik, ezért nem használható nagysebességű jel vezetésére, vagy egy jel távolra való elvezetésére. Távoli összeköttetésekhez lehetőség szerint a felső fémréteget kell használni. A fémvezetékek terhelhetősége a vezeték szélességétől függ: körülbelül 1mA áramterhelésenként 1 µm szélességet kell tervezni. A legtöbb vezetéken azonban nem folyik nagy áram, ezért az adott technológia által előírt minimális szélességűek (0.9 µm) lehetnek. Az áramköri szimulációk azt mutatták, hogy a teljes áramkör maximális áramfelvétele 3mA (nominális technológiai szóráson), így a tápvezetékek csíkszélességét 5µm-re választottam. Az azonos típusú cellák (D tároló, NAND kapuk, inverterek, stb.) csak a MOS tranzisztorok számában és az ellenállások méretében különböznek. A bipoláris tranzisztorok és ezek összeköttetései minden cellában ugyanazok. 20. oldal

Frekvenciaosztó áramkörök

Frekvenciaosztó áramkörök Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Frekvenciaosztó áramkörök Bognár György bognar@eet.bme.hu http://www.eet.bme.hu Tartalom és Bevezetés Case Study Frekvenciaosztó

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. Tudományos diákköri dolgozat. Nagyfrekvenciás BiCMOS ECL frekvenciaosztó

Budapesti Műszaki és Gazdaságtudományi Egyetem. Tudományos diákköri dolgozat. Nagyfrekvenciás BiCMOS ECL frekvenciaosztó Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszék Tudományos diákköri dolgozat Nagyfrekvenciás BiCMOS ECL frekvenciaosztó Készítették: Bognár György Szombathy Gergő BME-VIK V.

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

MOS alapáramkörök. CMOS áramkörök, konstrukciós kérdések. Elektronikus Eszközök Tanszéke.

MOS alapáramkörök. CMOS áramkörök, konstrukciós kérdések. Elektronikus Eszközök Tanszéke. MOS alapáramkörök CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu A CMOS inverter V DD V DD V DD p BE KI BE=1 KI=0 BE=0 KI=1 n GND GND GND Állandósult állapotban a két tranzisztor közül mindig

Részletesebben

Műveleti erősítők - Bevezetés

Műveleti erősítők - Bevezetés Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Műveleti erősítők - Bevezetés Takács Gábor Elektronikus Eszközök Tanszéke (BME) 2014.

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék Integrált áramkörök/2 Rencz Márta Elektronikus Eszközök Tanszék Mai témák MOS áramkörök alkatrészkészlete Bipoláris áramkörök alkatrészkészlete 11/2/2007 2/27 MOS áramkörök alkatrészkészlete Tranzisztorok

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Mérőáramkör tervezése 1GHZ-en működő ECL frekvenciaosztóhoz

Mérőáramkör tervezése 1GHZ-en működő ECL frekvenciaosztóhoz Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Mérőáramkör tervezése 1GHZ-en működő ECL frekvenciaosztóhoz Készítette: Bognár György Konzulens: Ipari konzulens: Benedek Zsolt

Részletesebben

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák Az inverter, alapfogalmak Kiürítéses típusú MOS inverter Kapuáramkörök kialakítása

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató ÓBUDAI EGYETEM Kandó Kálmán Villamosmérnöki Kar Híradástechnika Intézet Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató A mérést végezte: Neptun kód: A mérés időpontja: A méréshez szükséges eszközök:

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

2000 Szentendre, Bükköspart 74 WWW.MEVISOR.HU. MeviMR 3XC magnetorezisztív járműérzékelő szenzor

2000 Szentendre, Bükköspart 74 WWW.MEVISOR.HU. MeviMR 3XC magnetorezisztív járműérzékelő szenzor MeviMR 3XC Magnetorezisztív járműérzékelő szenzor MeviMR3XC járműérzékelő szenzor - 3 dimenzióban érzékeli a közelében megjelenő vastömeget. - Könnyű telepíthetőség. Nincs szükség az aszfalt felvágására,

Részletesebben

Mikroelektronikai tervezés tantermi gyakorlat

Mikroelektronikai tervezés tantermi gyakorlat Mikroelektronikai tervezés tantermi gyakorlat Gärtner Péter, Ress Sándor 2010 április 1 Az átcsúszó selejt Előadáson levezetve az átcsúszó selejtre: Y = yield, kihozatal C = fault coverage, hibalefedés

Részletesebben

Teljesítményerősítők ELEKTRONIKA_2

Teljesítményerősítők ELEKTRONIKA_2 Teljesítményerősítők ELEKTRONIKA_2 TEMATIKA Az emitterkövető kapcsolás. Az A osztályú üzemmód. A komplementer emitterkövető. A B osztályú üzemmód. AB osztályú erősítő. D osztályú erősítő. 2012.04.18. Dr.

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Modern áramköri megfontolások 3G integrált áramkörök tervezéshez

Modern áramköri megfontolások 3G integrált áramkörök tervezéshez Modern áramköri megfontolások 3G integrált áramkörök tervezéshez SZENTE-VARGA DOMONKOS, BOGNÁR GYÖRGY Budapesti Mûszaki és Gazdaságtudományi Egyetem, Elektronikus Eszközök Tanszék {szvdom, bognar}@eet.bme.hu

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő Műveleti erősítők A műveleti erősítők egyenáramú erősítőfokozatokból felépített, sokoldalúan felhasználható áramkörök, amelyek jellemzőit A u ', R be ', stb. külső elemek csatlakoztatásával széles határok

Részletesebben

Teljesítmény-erősítők. Elektronika 2.

Teljesítmény-erősítők. Elektronika 2. Teljesítmény-erősítők Elektronika 2. Az erősítés elve Erősítés: vezérelt energia-átalakítás Vezérlő teljesítmény: Fogyasztó teljesítmény-igénye: Tápforrásból felvett teljesítmény: Disszipálódott teljesítmény:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Elektronikai alapismeretek középszint 06 ÉRETTSÉGI VIZSG 007. május 5. ELEKTRONIKI LPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSG JVÍTÁSI-ÉRTÉKELÉSI ÚTMTTÓ OKTTÁSI ÉS KLTRÁLIS MINISZTÉRIM Teszt jellegű

Részletesebben

Változtatható frekvenciájú lokális órajelelosztó központok áramkörei

Változtatható frekvenciájú lokális órajelelosztó központok áramkörei Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Elektronikus Eszközök Tanszéke Változtatható frekvenciájú lokális órajelelosztó központok áramkörei TDK dolgozat Készítette:

Részletesebben

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak?

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? Ellenörző kérdések: 1. előadás 1/5 1. előadás 1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? 2. Mit jelent a föld csomópont, egy áramkörben hány lehet belőle,

Részletesebben

Jelgenerátorok ELEKTRONIKA_2

Jelgenerátorok ELEKTRONIKA_2 Jelgenerátorok ELEKTRONIKA_2 TEMATIKA Jelgenerátorok osztályozása. Túlvezérelt erősítők. Feszültségkomparátorok. Visszacsatolt komparátorok. Multivibrátor. Pozitív visszacsatolás. Oszcillátorok. RC oszcillátorok.

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra).

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra). 3.10. Tápegységek Az elektronikus berendezések (így a rádiók) működtetéséhez egy vagy több stabil tápfeszültség szükséges. A stabil tápfeszültség időben nem változó egyenfeszültség, melynek értéke független

Részletesebben

ELEKTRONIKA I. (KAUEL11OLK)

ELEKTRONIKA I. (KAUEL11OLK) Félévi követelmények és beadandó feladatok ELEKTRONIKA I. (KAUEL11OLK) tárgyból a Villamosmérnöki szak levelező tagozat hallgatói számára Óbuda Budapest, 2005/2006. Az ELEKTRONIKA I. tárgy témaköre: Az

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Analóg-digitális átalakítás Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Mai témák Mintavételezés A/D átalakítók típusok D/A átalakítás 12/10/2007 2/17 A/D ill. D/A átalakítók A világ analóg, a jelfeldolgozás

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások nalóg áramkörök Műveleti erősítővel épített alapkapcsolások Informatika/Elektronika előadás encz Márta/ess Sándor Elektronikus Eszközök Tanszék 07-nov.-22 Témák Műveleti erősítőkkel kapcsolatos alapfogalmak

Részletesebben

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók Szinkron, aszinkron számlálók szekvenciális hálózatok egyik legfontosabb csoportja a számlálók. Hasonlóan az 1 és 0 jelölésekhez a számlálók kimenetei sem interpretálandók mindig számként, pl. a kimeneteikkel

Részletesebben

Elektronika 1. 4. Előadás

Elektronika 1. 4. Előadás Elektronika 1 4. Előadás Bipoláris tranzisztorok felépítése és karakterisztikái, alapkapcsolások, munkapont-beállítás Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2006. október 2006. 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2006. október 24. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Azonosító jel NSZI 0 6 0 6 OKTATÁSI MINISZTÉRIUM Szakmai előkészítő érettségi tantárgyi verseny 2006. április 19. ELEKTRONIKAI ALAPISMERETEK DÖNTŐ ÍRÁSBELI FELADATOK Az írásbeli időtartama: 240 perc 2006

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2014. május 20. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2014. május 20. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! 1 Óbudai Egyetem 2 TARTALOMJEGYZÉK I. Bevezetés 3 I-A. Beüzemelés.................................. 4 I-B. Változtatható ellenállások...........................

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel és módszerekkel történik. A feldolgozás előtt az analóg jeleket digitalizálni kell.

Részletesebben

Gingl Zoltán, Szeged, dec. 1

Gingl Zoltán, Szeged, dec. 1 Gingl Zoltán, Szeged, 2017. 17 dec. 1 17 dec. 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó irányban tökéletes vezető (rövidzár) Záró irányban tökéletes szigetelő (szakadás) Valódi dióda:

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

ProProgressio Kutatói ösztöndíj beszámoló

ProProgressio Kutatói ösztöndíj beszámoló ProProgressio Kutatói ösztöndíj beszámoló Dr. Bognár György, BME VIK EET A BME Elektronikus Eszközök Tanszékén az integrált áramkörök tervezésének oktatása/kutatás témájú kutatómunkám keretében CMOS technológián

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk váltakozó-áramú alkalmazásai. Elmélet Az integrált mûveleti erõsítõk váltakozó áramú viselkedését a. fejezetben (jegyzet és prezentáció)

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

Elektronika 2. TFBE1302

Elektronika 2. TFBE1302 Elektronika 2. TFBE1302 Mérőműszerek Analóg elektronika Feszültség és áram mérése Feszültségmérő: V U R 1 I 1 igen nagy belső ellenállású mérőműszer párhuzamosan kapcsolandó a mérendő alkatrésszel R 3

Részletesebben

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2017 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási,

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 MOS áramkörök: CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu/~poppe/miel/hu/14-cmos.ppt http://www.eet.bme.hu Vizsgált

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

DIGITÁLIS TECHNIKA 11. Előadás

DIGITÁLIS TECHNIKA 11. Előadás DIGITÁLIS TECHNIKA 11. Előadás Előadó: Dr. Oniga István Egyetemi docens 2010/2011 II félév Digitális integrált áramkörök technológiája A logikai áramkörök megépítéséhez elıször is ki kell választanunk

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

5. MÉRÉS LC OSZCILLÁTOROK VIZSGÁLATA

5. MÉRÉS LC OSZCILLÁTOROK VIZSGÁLATA 5. MÉRÉS LC OSZCILLÁTOROK VIZSGÁLATA BMF-Kandó 2006 2 A mérést végezte: A mérés időpontja: A mérésvezető tanár tölti ki! Mérés vége:. Az oszcillátorok vizsgálatánál a megadott kapcsolások közül csak egyet

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Elektronikai alapismeretek középszint 08 ÉRETTSÉGI VIZSGA 008. október 0. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMTATÓ OKTATÁSI ÉS KLTRÁLIS MINISZTÉRIM Az

Részletesebben

Hármas tápegység Matrix MPS-3005L-3

Hármas tápegység Matrix MPS-3005L-3 Hármas tápegység Matrix MPS-3005L-3 Általános leírás Az MPS-3005L-3 tápegység egy fix 5V-os, 3A-rel terhelhető és két 0V-30V-között változtatható,legfeljebb 5A-rel terhelhető kimenettel rendelkezik. A

Részletesebben

Szinkronizmusból való kiesés elleni védelmi funkció

Szinkronizmusból való kiesés elleni védelmi funkció Budapest, 2011. december Szinkronizmusból való kiesés elleni védelmi funkció Szinkronizmusból való kiesés elleni védelmi funkciót főleg szinkron generátorokhoz alkalmaznak. Ha a generátor kiesik a szinkronizmusból,

Részletesebben

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Egyenirányítás: egyenáramú komponenst nem tartalmazó jelből egyenáramú összetevő előállítása. Nemlineáris áramköri elemet tartalmazó

Részletesebben

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ ATOMATKA ÉS ELEKTONKA SMEETEK KÖZÉPSZNTŰ ÍÁSBEL VZSGA JAVÍTÁS-ÉTÉKELÉS ÚTMTATÓ A MNTAFELADATOKHOZ Egyszerű, rövid feladatok Maximális pontszám: 40. Egy A=,5 mm keresztmetszetű alumínium (ρ= 0,08 Ω mm /m)

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

2.Előadás ( ) Munkapont és kivezérelhetőség

2.Előadás ( ) Munkapont és kivezérelhetőség 2.lőadás (207.09.2.) Munkapont és kivezérelhetőség A tranzisztorokat (BJT) lineáris áramkörbe ágyazva "működtetjük" és a továbbiakban mindig követelmény, hogy a tranzisztor normál aktív tartományban működjön

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

7. Laboratóriumi gyakorlat KIS ELMOZDULÁSOK MÉRÉSE KAPACITÍV ÉS INDUKTÍV MÓDSZERREL

7. Laboratóriumi gyakorlat KIS ELMOZDULÁSOK MÉRÉSE KAPACITÍV ÉS INDUKTÍV MÓDSZERREL 7. Laboratóriumi gyakorlat KIS ELMOZDULÁSOK MÉRÉSE KAPACITÍV ÉS INDUKTÍV MÓDSZERREL 1. A gyakorlat célja Kis elmozulások (.1mm 1cm) mérésének bemutatása egyszerű felépítésű érzékkőkkel. Kapacitív és inuktív

Részletesebben

Feszültségérzékelők a méréstechnikában

Feszültségérzékelők a méréstechnikában 5. Laboratóriumi gyakorlat Feszültségérzékelők a méréstechnikában 1. A gyakorlat célja Az elektronikus mérőműszerekben használatos különböző feszültségdetektoroknak tanulmányozása, átviteli karakterisztika

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2005. május 20. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI MINISZTÉRIUM Elektronikai

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2010. október 18. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2010. október 18. 1:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

Laptop: a fekete doboz

Laptop: a fekete doboz Laptop: a fekete doboz Dankházi Zoltán ELTE Anyagfizikai Tanszék Lássuk a fekete doboz -t NÉZZÜK MEG! És hány GB-os??? SZEDJÜK SZÉT!!!.2.2. AtomCsill 2 ... hát akkor... SZEDJÜK SZÉT!!!.2.2. AtomCsill 3

Részletesebben

Elektronika I. Gyakorló feladatok

Elektronika I. Gyakorló feladatok Elektronika I. Gyakorló feladatok U I Feszültséggenerátor jelképe: Áramgenerátor jelképe: 1. Vezesse le a terheletlen feszültségosztóra vonatkozó összefüggést: 2. Vezesse le a terheletlen áramosztóra vonatkozó

Részletesebben

Elektronika 2. TFBE5302

Elektronika 2. TFBE5302 Elektronika 2. TFBE5302 Mérőműszerek Analóg elektronika Feszültség és áram mérése Feszültségmérő: V U R 1 I 1 igen nagy belső ellenállású mérőműszer párhuzamosan kapcsolandó a mérendő alkatrésszel R 3

Részletesebben

MÉRŐERŐSÍTŐK EREDŐ FESZÜLTSÉGERŐSÍTÉSE

MÉRŐERŐSÍTŐK EREDŐ FESZÜLTSÉGERŐSÍTÉSE MÉŐEŐSÍTŐK MÉŐEŐSÍTŐK EEDŐ FESZÜLTSÉGEŐSÍTÉSE mérőerősítők nagy bemeneti impedanciájú, szimmetrikus bemenetű, változtatható erősítésű egységek, melyek szimmetrikus, kisértékű (általában egyen-) feszültségek

Részletesebben

Jelkondicionálás. Elvezetés. a bioelektromos jelek kis amplitúdójúak. extracelluláris spike: néhányszor 10 uv. EEG hajas fejbőrről: max 50 uv

Jelkondicionálás. Elvezetés. a bioelektromos jelek kis amplitúdójúak. extracelluláris spike: néhányszor 10 uv. EEG hajas fejbőrről: max 50 uv Jelkondicionálás Elvezetés 2/12 a bioelektromos jelek kis amplitúdójúak extracelluláris spike: néhányszor 10 uv EEG hajas fejbőrről: max 50 uv EKG: 1 mv membránpotenciál: max. 100 mv az amplitúdó növelésére,

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Elektronika alapjai. Témakörök 11. évfolyam

Elektronika alapjai. Témakörök 11. évfolyam Elektronika alapjai Témakörök 11. évfolyam Négypólusok Aktív négypólusok. Passzív négypólusok. Lineáris négypólusok. Nemlineáris négypólusok. Négypólusok paraméterei. Impedancia paraméterek. Admittancia

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET)

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) Hobbi Elektronika Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) 1 Felhasznált irodalom Sulinet Tudásbázis: Unipoláris tranzisztorok Electronics Tutorials: The MOSFET CONRAD Elektronik: Elektronikai

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2007. október 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2007. október 24. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS

Részletesebben

A PC vagyis a személyi számítógép. VII. rész

A PC vagyis a személyi számítógép. VII. rész ismerd meg! A PC vagyis a személyi számítógép MOS logikai integrált áramkörök II. rész A MOS logikai áramkörök kapcsolástechnikai megvalósítását és mûködését egy egyszerû, diszkrét alkatrészekbõl felépített

Részletesebben

Elektronikus műszerek Analóg oszcilloszkóp működés

Elektronikus műszerek Analóg oszcilloszkóp működés 1 1. Az analóg oszcilloszkópok általános jellemzői Az oszcilloszkóp egy speciális feszültségmérő. Nagy a bemeneti impedanciája, ezért a voltmérőhöz hasonlóan a mérendővel mindig párhuzamosan kell kötni.

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Milyen elvi mérési és számítási módszerrel lehet a Thevenin helyettesítő kép elemeit meghatározni?

Milyen elvi mérési és számítási módszerrel lehet a Thevenin helyettesítő kép elemeit meghatározni? 1. mérés Definiálja a korrekciót! Definiálja a mérés eredményét metrológiailag helyes formában! Definiálja a relatív formában megadott mérési hibát! Definiálja a rendszeres hibát! Definiálja a véletlen

Részletesebben

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris.

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris. Alapkapcsolások (Attól függően, hogy a tranzisztor három csatlakozási pontja közül melyiket csatlakoztatjuk állandó potenciálú pólusra, megkülönböztetünk): földelt emitteres földelt bázisú földelt kollektoros

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk egyenáramú jellemzése és alkalmazásai. Elmélet Az erõsítõ fogalmát valamint az integrált mûveleti erõsítõk szerkezetét és viselkedését

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A modul működése... 2 3 A CODEC konfigurációja... 3 4 Időzítési

Részletesebben

UNIPOLÁRIS TRANZISZTOR

UNIPOLÁRIS TRANZISZTOR UNIPOLÁRIS TRANZISZTOR Az unipoláris tranzisztorok térvezérléső tranzisztorok (Field Effect Transistor). Az ilyen tranzisztorok kimeneti áramának nagyságát a bemeneti feszültséggel létrehozott villamos

Részletesebben