SZÁMÍTÓGÉPVEZÉRELT IRÁNYÍTÁSOK

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "SZÁMÍTÓGÉPVEZÉRELT IRÁNYÍTÁSOK"

Átírás

1 SZÁMÍTÓGÉPVEZÉRELT IRÁNYÍTÁSOK JANCSKÁRNÉ ANWEILER ILDIKÓ Főiskolai docens 2004.

2 SZÁMÍTÓGÉPVEZÉRELT IRÁNYÍTÁSOK... Számítógépes folyamatirányító rendszerek... 5 Az irányított folyamat... 5 A számítógépes folyamatirányítási rendszer... 6 A folyamatirányítás üzemmódjai... 6 A real-time (valódi vagy valós idejű) programozás problémája... 9 Az irányítási rendszer részei... Néhány szó a PC alapú folyamatirányításról... 2 Az irányítás szintjei... 5 Az automatizálási rendszerek osztályozási szempontjai... 6 Az automatizálási struktúrák összehasonlítása... 8 Hierarchikus automatizálási rendszerek A technológiai rendszer és az irányító számítógép jelkapcsolata A technológiai folyamat és a helyileg centralizált automatizálási rendszer összekapcsolása A technológiai folyamat és a helyileg decentralizált automatizálási rendszer összekapcsolása Érzékelők Folyamatjelek A folyamatjelek csoportosítása Analóg bemeneti jelek Digitális bemeneti jelek Digitális kimeneti jelek Analóg kimeneti jelek Jelforrások és jelvevők Zavarjelek (villamos) Zavarjelek típusai Folyamatperifériák A folyamatperifériák funkcionális egységei Analóg bemeneti periféria A mintavételezés következtében előforduló jeltorzulások... 3 Az analóg bemeneti periféria részei Az A/D átalakítók jellemző paraméterei Az analóg-digitális átalakítók működési elve Az A/D átalakítók típusai Pillanatértékes A/D átalakítók Számláló elven működő A/D átalakító Átalakítás a fokozatos közelítés elve alapján (successive approximation) Átalakítás egylépéses feszültség-összehasonlítással Analóg közbenső értékes átalakítók... 4 Fűrészjeles átalakító Integráló típusú A/D átalakító Többcsatornás jelátalakítás Az analóg bemeneti perifériavezérlő feladatai Analóg kimeneti periféria A digitális-analóg átalakítás fogalma Feszültség-kimenetű digitális-analóg átalakítók R-2R létrahálózatot tartalmazó D/A átalakító Példa: 4 bites D/A átalakító létrahálózattal

3 Áramkimenetű digitális-analóg átalakítók A perifériavezérlő feladatai Digitális bemenetek A digitális bemeneti perifériák csoportosítása A digitális adatbemenet általános felépítése A perifériavezérlő feladatai... 5 Megszakítás bemenet... 5 Számláló bemenet... 5 Digitális kimenetek... 5 Programozható digitális be/kimenetek RS 485 alapú rendszerek A soros vonali szabványok jellemzői Az RS-232 rendszer fő hibái RS-422, RS-485 rendszerek RS-485 rendszer Kétvezetékes rendszerek Gyakorlati feladat Terepi buszrendszerek Buszrendszerek az érzékelő/beavatkozó szinten Az érzékelő/beavatkozó szintű buszrendszerek lehetséges kialakítási módjai Az AS-Interface (Actuator Sensor Interface: ASI) ASI-átviteli rendszer Az ASI-slave felépítése... 7 Adatbiztonság Interbus-S Az INTERBUS hálózat elemei Adatátvitel Terepi buszok PROFIBUS (Process Field Bus) PROFIBUS protokoll... 8 PROFIBUS-FMS PROFIBUS-DP A PROFIBUS-DP alapfunkciói (DP-V0) Táviratforátumok Védelmi mechanizmusok Aciklikus adatátvitel Eszközleíró fájlok A kezelőszemély és a számítógépes irányítórendszer kapcsolata Az ember - gép munkamegosztása Kezelői feladatok az irányító rendszerben A kezelői kapcsolattartás módjai és eszközei A kezelői kapcsolattartás legfontosabb módjai Az ember-gép kapcsolat eszközbázisa A megjelenítőkkel szemben támasztott követelmények Funkcionális követelmények Ergonómiai és pszichológiai követelmények Műszaki - kiviteli és megbízhatósági követelmények A folyamatmegjelenítés hierarchiája, adatforgalma Az operatív irányításhoz szükséges információk megjelenítése Naplózás, naplók megjelenítése

4 Színek és más segédinformációk alkalmazása A kezelői kapcsolattartás számítógépes háttere A számítógépes folyamatirányítás algoritmusai A felügyelői irányítás A folyamatjelek előfeldolgozása Átkódolás Méréskorrekció Digitális szűrés Átszámítás fizikai értékre... 2 Számított folyamatváltozók képzése... 2 Idő szerinti elsőrendű derivált képzés... 2 Idő szerinti integrált érték... 3 Egyéb számítások... 3 Ellenőrzések... 3 Határértékvizsgálatok... 3 Digitális bemeneti jelek változásfigyelése... 5 Adattárolás... 6 Felügyelői beavatkozás... 6 Az analóg folyamatváltozókkal kapcsolatos felügyelői beavatkozások... 6 Vezérlés jellegű felügyelői beavatkozás (on - off controll)... 7 Kimenőjel feldolgozó algoritmusok... 7 Állásos szabályozások... 8 Arányos, időkésleltetéses, holtidős szakaszok szabályozása kétállású hiszterézises szabályozóval... 9 Közvetlen digitális szabályozás (DDC) Digitális PID - algoritmus A szabályozás matematikai szimulációja... 3 A vezetési tulajdonság meghatározása... 3 A PID-algoritmus módosított változatai Korlátozott arányos hatású PID-szabályozási algoritmus Hibanégyzetes PID sebesség - algoritmus A digitális PID-szabályozók paramétereinek meghatározása Optimalizálás a szabályozás matematikai szimulációjával Optimalizálás a szakasz kimérése alapján Optimalizálás a szabályozott szakasz átmeneti függvénye alapján Optimalizálás a szabályozási kör kritikus lengésének kiértékelésével... 4 A digitális szabályozóalgoritmus szintézise DDC rendszer méretezése véges beállásra Példa: DDC rendszer méretezése véges beállásra Példa: Végesbeállású algoritmus ellenőrzése

5 Számítógépes folyamatirányító rendszerek Az irányított folyamat A technológiai rendszer olyan berendezések összessége, amely alapanyagok, segédanyagok és energia felhasználásával termékek és melléktermékek előállítását teszi lehetővé. A technológiai folyamat a technológiai rendszerben lezajló átalakító, feldolgozó, továbbító műveletek térbeli vagy időbeni sorrendjét írja le.. ábra A technológiai folyamat a technológiai rendszerben zajlik 5

6 2. ábra Példa szakaszos üzemű technológiai folyamatra A számítógépes folyamatirányítási rendszer Folyamatirányítás: adott folyamat üzemvitele és felügyelete kezelők és automatikus berendezések segítségével oly módon, hogy a kitűzött termelési, termelékenységi, vagy egyéb speciális célt elérjük. Számítógépes folyamatirányítás: Az információszerzést és beavatkozást az érzékelő és beavatkozó szervek, az információ feldolgozását a számítógép végzi. Folyamat automatizálásról akkor beszélünk, ha a technológiai folyamatok műveleteinek automatizálását helyezzük előtérbe. Folyamatirányításról akkor beszélünk, ha a technológiai folyamatok lefutásának befolyásolását helyezzük előtérbe, amelyet az egyes műveletek automatizált volta alátámaszt. Az irányítás lehet vezérlés vagy szabályozás. Folyamatinformatikáról beszélünk, ha az irányítást megvalósító számítógép és kommunikációs rendszere képezi vizsgálatunk tárgyát. A számítógépes folyamatirányítási rendszer = technológiai folyamat a technológiai rendszeren + számítógép és kommunikációs rendszer + kezelőszemély. Az automatizáltság foka megadja az automatizálásba bevont műveletek körét. Intervalluma nullától a teljesen automatizált rendszerig terjed. A teljesen automatizált rendszereknél is szükséges lehet kezelői beavatkozásra pl. az alapjelek módosítása, vagy vészhelyzet esetén. A folyamatirányítás üzemmódjai Hagyományos (off-line) üzemmódban indirekt csatlakozik a számítógép és a folyamat, alacsony automatizáltsági fokkal. 6

7 3. ábra Hagyományos irányítás Közvetlen (on-line) üzemmód. Attól függően, hogy a kezelőszemélyen keresztül, vagy közvetlenül a számítógépen keresztül záródik az irányítási kör, megkülönböztetjük a nyitott (open loop) és zárt (closed loop) láncú számítógépes irányítórendszereket. Az on-line nyílt láncú folyamatirányítást számítógépes (real-time) adatgyűjtésnek is szokás nevezni. Ez közepes automatizáltsági fokot jelent. Az on-line zárt láncú folyamatirányítás magas automatizáltsági fokot jelent. 7

8 4. ábra On-line nyílt láncú (open-loop) típusú irányítás 5. ábra On-line zárt láncú (closed-loop) típusú irányítás 8

9 Az irányításban résztvevő számítógépnek meg kell felelnie az alábbi három feltételnek: Teljesítenie kell a valósidejű üzemmód követelményeit: időzített beolvasás, feldolgozás, és adatkiadás. Folyamatjelek fogadására és kiadására is képes. (Közvetlenül, vagy kommunikációs rendszeren keresztül). Szám karakter és bitfeldolgozás lehetőségét is biztosítja. A real-time (valódi vagy valós idejű) programozás problémája A konvencionális és a tudományos - technikai adatfeldolgozásokra használt számítógépektől azt várjuk, hogy a bemeneti adatokat beolvasva, a memóriájában tárolt programok segítségével azokat feldolgozza és az eredményeket, a kimeneti adatokat meghatározza. Az adatfeldolgozás eredményessége nem függ attól, hogy mikor adja ki a számítógép a kimeneti értékeket, akkor tekinthető megfelelőnek (helyesnek), ha a feldolgozó-algoritmus hibátlanul működött, azaz számolt. Más a helyzet azonban akkor, ha a számítógépet egy valóságban zajló technológiai folyamathoz illesztjük adatfeldolgozási (irányítási) célból. Ilyenkor az adatfeldolgozás helyessége függ attól is, hogy a számítógép milyen időpillanatban adja ki a kimeneti értékeket. Előfordulhat ugyanis, hogy bár a bemeneti adatokból hibátlanul számolja ki a kimeneti adatokat, de ha az eredményeket nem a megfelelő időpillanatban adja ki, akkor a számítógép működése nem tekinthető helyesnek. Ha a számítógép online csatlakozik az irányított folyamathoz, a számítógéptől valós idejű (real-time) adatfeldolgozást követelünk. A valós idejű adatfeldolgozás azt jelenti, hogy a valóságos, tényleges időnek megfelelően dolgozza fel a bemenő adatokat, tehát a feldolgozás során a bemeneti adatok mellett az időt is figyelembe kell venni: Eszerint valamely folyamatesemény észlelése T e, az eseményre adandó válasz gépi számítási idejének T sz, és a beavatkozás idejének összege ne haladjon meg egy olyan T kr kritikus időtartamot, amely alatt a folyamat állapota keveset változik. T + T + T < T. e sz b kr A valósidejű rendszerekkel szemben támasztott követelmények: A megfelelő időben reagáljon (ne túl korán, ne túl későn). Több dologra is tudjon azonos időben reagálni (párhuzamos lefutás). Legyen megbízható, biztonságos. Minden reakció tervezhető és determinisztikus legyen. (bekövetkezett hiba visszakövethető). A folyamatirányító számítógép feladata tehát, hogy a bemeneti adatokat megfelelő (valós) időben kérdezze le, dolgozza fel, és a kimeneteket a megfelelő (valós) időben adja ki. A valósidejűség időfeltételeit két csoportba sorolhatjuk: abszolút időzítés, amikor a feladatot egy meghatározott időpontban (pl.: 45 h) kell végrehajtani, relatív időzítés, amikor a feladatokat valamilyen esemény bekövetkezéséhez viszonyítva kell végrehajtani. Mindkét időzítésnél négy esetet különböztethetünk meg: 9

10 a műveleteket pontosan meghatározott időpontokban kell végrehajtani, a műveleteket adott időpont körüli tolerancia sávon belül kell végrehajtani, a műveleteket legkésőbb egy adott időpontig kell végrehajtani, a műveleteket legkorábban egy időpont után szabad végrehajtani. A valósidejűséget legegyszerűbben úgy lehet biztosítani, ha minden egyes adatfeldolgozási feladatot külön processzorral hajtatunk végre, így biztosított a teljesen egyidejű, párhuzamos adatfeldolgozás. Abban az esetben, ha a környezet lassabban változik, mint amilyen gyors a számítógép adatfeldolgozása, akkor egy számítógéppel az egymás utáni adatfeldolgozások úgy hatnak, mintha egyidőben, párhuzamosan dolgoztak volna, így egy processzorral is biztosítható a valósidejűség, a technológiai folyamathoz illeszkedés. 0

11 Az irányítási rendszer részei Az alábbi ábra segítségével szeretnénk szemléltetni, hogy az automatizálási rendszer milyen elemekből épül fel. A szürkével jelölt elemeket tárgyaljuk részletesebben a jegyzet keretében. Automatizálási rendszer Az automatizálás tárgya: a technológiai rendszer Irányítórendszer Termék Berendezés Az ember-gép kommunikáció eszközei Érzékelők és beavatkozók Kommunikációs rendszer Egyedi, fixen huzalozott berendezések Folyamat-közeli kommunikációsrendszer: terepi busz Számítógépek közötti kommunikációt biztosító Számítógéprendszer Számítógép hardver Hardver-rendszer Folyamatperifériák Szoftver-rendszer Felhasználói szoftver Rendszer szoftver Az irányításban felhasznált számítógépek speciálisan szervezett, általában moduláris felépítésű, gyors működésű, több szintű hardver megszekítási rendszerrel, flexibikis operációs rendszerrel, programnyelvekkel, programrendszerekkel rendelkező, nagy megbízhatóságú számítógépek : Programozható vezérlők (PLC). Mikrovezérlők.

12 Személyi számítógépek (PC), ipari kivitelben : IPC. Folyamatirányító számítógépek. 6. ábra Az előrejelzések szerint a mikrovezérlők egyre nagyobb piaci teret hódítanak A szoftverrendszer alatt értjük az irányítási feladatot megvalósító összes programot, a dokumentációjával együtt. A felhasználói programok csoportosítása a megoldandó feladat szempontjából: Folyamatjeleket fogadó, kezelő programok. Folyamat-felügyeletet biztosító programok. Vezérlő programok. Folyamatszabályozási programok. Folyamatoptimalizáló és -vezető programok. Folyamat biztonságot és védelmet szolgáló programok. A rendszerprogramok hasonló elgondolás szerinti csoportjai: A felhasználói programok futtatását szervező programok. A perifériákat kezelő programok. A külső tárolókkal történő adatcsere szervezését végző programok. Az ember-gép párbeszédet biztosító programok. Fordítók. Futtatók. Néhány szó a PC alapú folyamatirányításról A PLC-k alkalmazhatóságukat determinisztikus működésüknek köszönhetik, azaz bármely körülmények között képesek előre meghatározott ciklusidővel végrehajtani feladataikat. Az igényes PC-s irányítás a determinisztikus működést külön szoftverelem, a real-time operációs rendszer (RTOS) kernel 2

13 használatával teszi lehetővé. Egyik megvalósítás szerint ez a Windows NT mellett, attól függetlenül, a legmagasabb prioritási szinten fut. Ha az alkalmazások csak fizikai memóriát használnak a PC-ben, képesek megvalósítani a termelés valós idejű vezérlését. Az RTOS a számítógép indulásakor elfoglalja a számára megfelelő méretű RAM területet, amely így az NT számára már elérhetetlen. A PC-technológia további feltétele a determinisztikus kommunikáció a ki/bemeneti eszközökkel. Az ehhez szükséges driverek az RTOS részeként működnek. A felhasználó a Windows felületen dolgozik, a real-time operációs rendszerrel nem kerül közvetlen kapcsolatba. A vezérlési feladat és az I/O egységek kiszolgálása után (bemenetek lekérdezése vezérlés kimenetek leképezése) a ciklusidő maradék részében az RTOS átengedi a processzort a Windows NT-nek, és a rajta futó taszkoknak. 7. ábra példa IPC alapú irányítórendszerre Az ipari kivitelű PC-k a PLC-k által elviselt környezeti körülmények mellett nyújtják a PC -szolgáltatásokat. A terepi jelek illesztésére igen széles eszközválaszték áll rendelkezésre. A különböző szabványosított ipari buszrendszerekre illeszkedő I/O modulok egyidejűleg is használhatók ugyanazon a számítógépen, S alkalmasak a technológiai jelek gyors és biztonságos illesztésére. A terepi jelek PC-be juttathatók RS232/RS485 soros kommunikációs eszközök vagy PC-s adatgyűjtő kártyák 3

14 segítségével is. A felhasználó nem függ egyetlen hardvergyártótól. A legfrissebb fejlesztések már az RTOS-hez kapcsolt valósidejű TCPIP protokollt használják Ethernet hálózaton, amely az NT leállása után is működőképes. 8. ábra Példa PLC és IPC alapú rendszerre 4

15 Az irányítás szintjei A számítógépes irányítás a termelési folyamat hierarchikus jellegéhez igazodva - általánosságban hierarchikus struktúrájú. Az alábbi ábra szemlélteti a vezetési szintekhez tartozó jellemző adatmennyiségeket, ciklusidőket és adatkapcsolati rendszereket. 9. ábra Az irányítási szintek és az információáram Az irányítási szintek feladatai:. Költségoptimalizálás, profitmaximalizálás. 2. Kapacitás optimalizálás, termeléskiértékelés. 3. Folyamat-felügyelet, eseménynaplózás, adatelemzés. Alapjelek beállítása, szabályozó-paraméterek optimalizálása, zavarások lekezelése, gondoskodás a biztonságos üzemmenetről. 4. Szabályozás, vezérlés, reteszelés, folyamatbiztonság biztosítás. 5. Adatgyűjtés (a folyamat állapotjellemzői ill. termék jellemzők). 6. A folyamatjellemzők mérése, módosítása (beavatkozás), átkapcsolás. Számítógép alkalmazása minden irányítási szinten lehetséges, a szint feladatainak megfelelően természetesen különböző típusú, jellemző tulajdonságú számítógépekre, illetve intelligens (mikroprocesszort tartalmazó) automatizálási berendezésekre van szükség. 5

16 0. ábra A folyamatirányító számítógép és az irányított folyamat jelkapcsolata Az automatizálási rendszerek osztályozási szempontjai Az automatizálási rendszerek osztályozásánál figyelembe vesszük a technológiai rendszer és az automatizálási berendezések térbeli elhelyezkedését, illetve az irányítórendszer ún. hatásos struktúráját. A technológiai folyamat struktúrája: egy egységben (berendezésben) lejátszódó egy technológiai folyamat (pl.: mosás mosógéppel, fúrás fúrógéppel): centralizált struktúra. részfolyamatokból felépülő technológiai folyamat (pl.: fogaskerékgyártás): decentralizált struktúra. Az automatizálási berendezések helyi elrendezése: egy helyen központosított berendezések (folyamatnál mérőérzékelők, jelváltók, beavatkozók; irányítóteremben a folyamatirányító gép): centralizált struktúra. decentralizált berendezések (Az automatizálási berendezések nagy része az üzemben a folyamat közelében elosztva ): decentralizált struktúra. Az automatizálási rendszer hatásos struktúrája: központosított (centralizált) struktúra: az automatizálási rendszer egy univerzális folyamatirányítógép, amely soros információfeldolgozással végzi az automatizálási feladatokat. 6

17 . ábra A centralizált (központosított) irányítási struktúra vázlata 2. ábra Helyileg centralizált irányítás elosztott (hatásában decentralizált) automatizálási struktúra: a technológiai részfolyamatokat különálló, párhuzamos működésű automatizálási egységek irányítják. 7

18 3. ábra A hatásában decentralizált struktúra vázlata Az automatizálási struktúrák összehasonlítása Az automatizálási struktúrákat az alábbi szempontok alapján hasonlíthatjuk össze: a berendezések, a kábelezés, a szoftverek beszerzési és karbantartási költségei; a részekre bontás lehetősége hardverkiesés ill. szoftverhibák esetén; 8

19 rugalmasan módosítható, bővíthető; mennyire biztosított a részfolyamatok koordinálása és az együttes folyamat optimalizálása; a kiszolgálás minősége, mennyire felhasználóbarát a rendszer. Legyen például az összehasonlítási alap a beszerzési költség. Az alábbi ábrán láthatjuk, hogy míg a központosított automatizálási struktúra beszerzési ára állandónak tekinthető (gyakorlatilag független az irányítandó technológiai egységek számától), addig a decentralizált struktúra a berendezés/részfolyamat számának növekedésével növekvő tendenciát mutat. 4. ábra A központosított és decentralizált automatizálási struktúra összehasonlítása a beszerzési költség szempontjából Ha a meghibásodások hatását vizsgáljuk, akkor, mint ahogy az a mellékelt ábrán is látható, a hatásában centralizált automatizálási struktúra gyakorlatilag konstans megbízhatósága mellett, a hatásában decentralizált rendszerek magasabb megbízhatósági értékről indulnak. 9

20 5. ábra A hatásában központosított és decentralizált automatizálási struktúra összehasonlítása az üzemeltetés biztonsága tekintetében Mivel legtöbbször két vagy több berendezés kiesése nem vezet a teljes leállásig, a hatásában decentralizált automatizálási rendszer megbízhatósága nagyobb, mint a centralizálté. A rugalmasság tekintetében szintén a decentralizált rendszerek az előnyösebbek. A kiszolgálás minősége, a felhasználóbarát rendszer mint értékelési szempont, egyre nagyobb jelentőséggel bír, ez vezetett a könnyen kezelhető irányító - megjelenítőrendszerek kifejlődéséhez. A helyileg és hatásában is decentralizált rendszerekben a részfolyamatok jobban áttekinthetők. A zavarás helyileg és funkcionálisan is jobban lokalizálható, behatárolható és megszüntethető. Az automatizálási struktúrák lehetséges kombinációi Az irányítás helyileg központosított (C) A technológiai folyamat egy egységnek tekinthető (centralizált folyamatstruktúra) Elosztott (D) A technológiai folyamat részfolyamatokra bontható (decentralizált folyamatstruktúra) központosított (C) Elosztott (D) hatásában Központosított (C) CCC CDC DCC DDC elosztott CCD CDD DCD DDD (D) ahol:. betű: a technológiai folyamat struktúrája 2. betű: az irányítás területi elhelyezkedése 3. betű: irányítás hatás szerinti struktúra 20

21 A fent definiált automatizálási struktúrák értékelése: CCC: kisméretű berendezések tipikus automatizálása. CDC: az előbbinél alacsonyabb kábelezési költség. DCC: nem megfelelő a rendelkezésre állás, a felügyelhetőség és a kábelezési költségeket illetően. DDC: nem megfelelő a rendelkezésre állás és a rugalmasság tekintetében. CCD: megfelelő flexibilitás és felügyelhetőség; nem megfelelő: kábelezés. CDD: megfelelő flexibilitás, áttekinthetőség, rendelkezésre állás, kábelezés. DCD: megfelelő a rendelkezésre állás és a felügyelhetőség; nem megfelelő: kábelezési költség. DDD: megfelelő flexibilitás, áttekinthetőség, rendelkezésre állás, kábelezés. Következtetés: annyira decentralizálni, amennyire lehetséges, annyira központosítani, amennyire szükséges. 2

22 Hierarchikus automatizálási rendszerek A hierarchikus automatizálási rendszerek a központosított és decentralizált struktúra kombinációját jelentik. 6. ábra A központosított és decentralizált struktúra kombinációja Minél összetettebb a technológiai folyamat, annál több az automatizálási hierarchiaszintek száma. Az előbbi ábra további szintekre tagolódhat. Az elosztott automatizálási rendszerekben a decentralizált automatizálási egységek a kommunikációs rendszeren keresztül egymás fölé és egy központi szinthez kapcsolódnak. Ezen struktúra célja: a központosított és decentralizált rendszerek előnyeinek egyesítése; az automatizálási egységek kisegítése meghibásodás ill. nagyobb terhelés esetén. A decentralizált automatizálási egységek mikroprocesszorokat tartalmaznak. Ez egyrészt megvalósítja az egyedi irányítási feladatot, másrészt a kommunikációs rendszeren keresztül adatcserét bonyolít le, így kívülről felügyelhető és kisegíthető. A kívülről jövő segítség megvalósításának feltételei: Az irányító berendezések közötti feladatmegosztás olyan, hogy át tudják adni egymásnak feladataik egy részét. Hibadiagnosztika lehetősége. (A kiesett egység meghatározása, a kiesés módja, az üzemzavar mértéke.) Hiba fellépése esetén (kiesik egy egység; a kommunikáció egy része megszakad) a még működő egységek rekonfigurálása a hiba elhatárolása céljából (error isolation). A hiba elhárítása után az irányító egységeknek vissza kell állniuk a normál üzemmenetre (error recovery). 22

23 A technológiai rendszer és az irányító számítógép jelkapcsolata A technológiai folyamat és a helyileg centralizált automatizálási rendszer összekapcsolása Minden egyes érzékelő, beavatkozó külön jelvezetékkel csatlakozik az irányítórendszerhez, a jelvezetékek párhuzamosan futnak. Előnye: a párhuzamos továbbítás miatt az információátvitel sebessége igen nagy. az egyes jeleken fellépő esetleges zavarás nem befolyásolja a többi jelet. Hátránya: magas kábelezési költség, hosszú vezetékezésnél fellép a zavarás miatti jeltorzulás veszélye, nagyobb távolságra történő jeltovábbításnál a vezetékbe jelerősítőket kell beépíteni. A technológiai folyamat és a helyileg decentralizált automatizálási rendszer összekapcsolása A decentralizált automatizálási struktúrákban lehetőség van a folyamatjelek decentralizált (folyamatközeli) összegyűjtésére, esetleg előfeldolgozására is. A kisebb csoportokba összefogott jeleket ún. front-end processzorokhoz vezetik. A front-end processzor decentralizált számítógépként működik. (Nagy irányítórendszerekben több száz ilyen front-end processzor lehet.) A folyamatcsatolók (digitális ki- / bemeneti egységek, A/D-, D/A- konverterek) az ilyen processzor-chipekbe integrálhatók. A decentralizált folyamatközeli egységek valamilyen kommunikációs rendszeren keresztül (pl. buszrendszerek) kapcsolódnak a magasabb hierarchia szinten levő irányítóegységekhez. A technológiai rendszer és az irányító számítógép jelkapcsolata tehát történhet : Közvetlen jelvezetéken: hagyományos mód. Érzékelő/beavatkozó (sensor/actor) buszrendszeren keresztül: intelligens érzékelők és beavatkozók használatával. Busz-csatoló modulon keresztül: terepi busz segítségével. Érzékelők és beavatkozók közvetlen csatlakoztatása: Egyedi berendezés automatizálására. Rövid vezetékek a mikrokonrollerhez. Be/kimeneti jelek buszrendszeren keresztül Nagyobb méretű gyártási folyamat automatizálása. Csökkenti a kábelezési és installációs költségeket. 23

24 7. ábra A folyamatirányító számítógép és az irányított folyamat jelkapcsolata (áttekintő ábra) Érzékelők Az alábbi két ábra segítségével fogalmat alkothatunk a hagyományos és az ún. intelligens érzékelők közötti alapvető különbségekről. 8. ábra hagyományos érzékelők 24

25 9. ábra intelligens érzékelők Folyamatjelek Folyamatjeleknek nevezzük a folyamatműszerek által szolgáltatott ill. igényelt, hasznos információt hordozó jeleket. A folyamatjelek csoportosításának alapja az irányítás szempontjából betöltött szerepe és a számítógépes feldolgozás módja. Az irányítás szempontjából megkülönböztetjük a bemeneti és a kimeneti jeleket. Bemeneti jel: a számítógépbe belépő folyamatjel. Kimeneti jel: a számítógépből kilépő folyamatjel. Az analóg ill. a digitális folyamat jelek a számítógépben másfajta feldolgozást igényelnek. Analóg jel: olyan fizikai mennyiség, amelynek adott értéktartományon belüli minden értékéhez információt rendelünk. Információtartalma végtelen. Digitális jel: az a fizikai mennyiség, amelynek egymástól elkülönített értéktartományaihoz rendelünk információt. A folyamatjelek csoportosítása Analóg bemeneti jelek Az analóg bemeneti jelek az érzékelő/távadók által szolgáltatott, egységes jeltartományú, továbbfeldolgozásra alkalmas jelek. A jel lehet: Egyenáram. (0-5mA; 0-20mA; 4-20mA; 0-50mA) Pl.: hőmérséklet-, nyomás-, áramlás-, szint- stb. távadók. Egyenfeszültség ( V alatt; V felett.) Pl.: hőelem, nyúlásmérő érzékelő, stb. Egyenáramú jelekből, tápforrásra kapcsolt potméterről, stb. Ellenállás. Pl.: Ellenállás hőmérő, potméter, nyúlásmérő bélyeg, stb. Frekvencia (0-00 Hz). Pl.: Néhány erőmérő, nyomásmérő ill. sűrűségmérő, stb. Digitális bemeneti jelek A technológiai rendszerből érkező bináris (digitális) jelek. Igen fontos kérdés a jelek galvanikus leválasztása, ezt leggyakrabban ún. optocsatolóval valósítják meg. Csoportosításuk történhet: Időbeni lefutás alapján: o Állapotjel (statikus jel): egy berendezés mindenkori állapotát adja meg. Két értékváltozás közt eltelt idő általában hosszú. Pl.: szelepek, 25

26 munkahengerek végálláskapcsolói, kódolt kimenetű jeladók, analóg mérőműszerek max/min jeladói. o Impulzusjel (dinamikus jel): egy folyamatberendezés állapotváltozását jelzi. Két értékváltozás közt eltelt idő általában. rövid. (Tárolás!) Pl.: kézi nyomógombok, számláló berendezések, forgó berendezések, frekvencia kimenetű berendezések. Megjelenési forma (jelhordozó) szerint: o Feszültségszint: a folyamat-berendezés diszkrét állapotaihoz feszültségszintet rendelünk hozzá. o Kontaktus: a folyamat-berendezés diszkrét állapotaihoz érintkezők nyitását/zárását rendeljük hozzá. (Kontaktus bementeknél gondoskodni kell a kontaktus áramellátásáról és a bemenet pergésmentesítésről.) A jel értelmezése szempontjából: o Független bitek: egymástól független bináris jelek hordozzák az információt. o Összefüggő bitcsoportok: digitális jelek, az információt az összefüggő bitcsoportok együttesen hordozzák. A jel funkciója alapján: o Ellenőrzött digitális jel: elegendő adott időközönként, vagy feltételek teljesülése esetén megvizsgálni. A számítógép kezdeményezi a lekérdezést. o Megszakításjel: olyan kritikus folyamatjel, melynek változását azonnal észlelni kell és megfelelően reagálni kell rá. Egy adott digitális jel mind a négy fenti csoport szerint értékelhető. Pl. egy gáztartály nyomás felső határérték-túllépés jele: független bit, kontaktus, megszakítást generáló állapotjel. Digitális kimeneti jelek A technológiai folyamat kétállapotú jellel, vagy ezek kombi-nációjával működtethető beavatkozó és jelzőberendezéseit vezérlik. A számítógépből kijövő jel csak addig él, amíg rámutat a címzés. A relék, kapcsolók, ledek, stb. működtetéséhez köztes tároló tartja a kimeneti értéket a következő jelfrissítésig. Csoportosításuk a digitális bemeneti jelekhez hasonlóan lehetséges: A jel időbeli lefutása szerint: o Fenntartott jel: olyan berendezésekhez, melyek bemenetén a jelet működésük teljes időtartamára biztosítani kell. Pl.: tartószerv nélküli logikai áramkörök, elektromágneses berendezések: relék, mágnesszelepek, mágneskapcsolók, jelzőlámpák, számkijelzők. o Impulzus jel: olyan berendezésekhez, amelyek adott amplitúdójú és szélességű jellel működtethetők. Pl.: tartószervvel ellátott logikai áramkörök, számlálók, integráló típusú analóg működésű folyamatberendezések. Megjelenési forma szerint: o Feszültségszint: az információt feszültségszintek hordozzák. o Kontaktus: az információt érintkezők nyitása/zárása képviseli. A jel értelmezése szempontjából: o Független bitek. o Összefüggő bitcsoportok. 26

27 Analóg kimeneti jelek A technológiai folyamatba beépített analóg működésű beavatkozó szerveket és berendezéseket vezérlik. Lehet: Egyenáram. (0-5mA; 0-20mA; 4-20mA; 0-50mA) Egyenfeszültség (0-5V ; 0-0 V.) Frekvencia ( Hz). Pl.: szabályozószelepek, szabályozók, villamos motorok, analóg regisztrálók stb. Jelforrások és jelvevők A jelforrás a jelet előállító, míg a jelvevő a jelet feldolgozó, ill. a jelet működéséhez felhasználó berendezés. A jelforrások és jelvevők az impedancia viszonyok és földelések szerint rendszerezhetők. Egy adott típusú jelforrás csak megfelelő típusú jelvevővel kapcsolható össze. Az összeillesztés szabályai: Egyetlen pont földelése. Szimmetrikus áramkör kialakítása. A vezetékárnyékolás potenciáljának rögzítése. Zavarjelek (villamos) A zavarjelek a mérőkörben jeltorzulást okoznak, melynek információveszteség a következménye. A csatolás lehet: konduktív, induktív, kapacitív. Zavarjelek típusai 20. ábra A zavarjel értelmezése Az időbeni változás szerint o Egyenfeszültségű: időben állandó. o Változó feszültségű: adott frekvencia és amplitúdó. 27

28 o Tranziens: rövid idejű. 0 - Hz: a technológiából származik Hz: szabályozással, vezérléssel kiküszöbölhető Hz zajok oka lehet pl. az érzékelő körül fellépő turbulencia Hz: akusztikus és áramköri kölcsönhatások Hz zajkomponens: tranziens zajok. Az áramkörben való megjelenési forma szerint: o Ellenfázisú zavarjel (normal mode interference): a jelforrás által szolgáltatott hasznos jellel sorosan jelentkezik, a jelvevő bemeneti pontjait ellenfázisban vezérli. A két bemeneti pont között feszültségkülönbséget hoz létre. 2. ábra Ellenfázisú zavarjel o Azonosfázisú zavarjel (common mode interference): a hasznos jelet közvetítő két jelvezeték közös pontja és valamilyen referencia pont rendszerint a jelvevő földpontja- között lép fel, a jelvevő bemeneti pontjait azonos fázisban vezérli. A bemeneti pontok valamilyen referenciaponthoz viszonyított feszültsége azonos mértékben változik. 28

29 22. ábra Azonosfázisú zavarjel Problémát jelent, hogy az azonosfázisú zavarjel az áramkörben átalakulhat ellenfázisú zavarjellé. 23. ábra Azonosfázisú zavarjelből származó ellenfázisú zavarjel U ef = U R b U af ef R b >> R h U R + R af b h + R + R v v 29

30 Azonosfázisú zavarjelelnyomás: közösjelelnyomás, CMR (Common Mode Rejektion) Valamely azonosfázisú zavarjel és e zavarjelből keletkező ellenfázisú zavarjel abszolút értékének hányadosa db-ben kifejezve: CMR = 20lg U U af ef Javaslatok az áramköri kialakításra: Szimmetrikus áramkör kialakítása. Védőárnyékolás. Galvanikus leválasztás. Zavarjelek szűrése a jelvevőben: csak akkor alkalmazható, ha a hasznos jel és a zavarjel frekvenciatartománya jól elkülöníthető! Analóg szűrés: a jelvevő bemenetén rendszerint RC áramkörökből felépített egy - vagy kétidőállandós passzív szűrőket használnak. Így Hz feletti zavarjelek jól csillapíthatók. Pl.: PT RC-szűrő 50 Hz hálózati zavarjeleket 40 db-el kívánjuk csillapítani: R= kohm, C=330 µf. Digitális szűrés később! Folyamatperifériák A folyamatperifériák feladata a folyamat érzékelői, távadói, jelzőműszerei által szolgáltatott analóg és digitális információk bevitele a számítógépbe, illetve a számítógép által szolgáltatott analóg és digitális információ kivitele a számítógéppel kapcsolatban lévő beavatkozó és jelzőrendszer számára. A folyamatjeleknek megfelelően az alábbi csoportokba soroljuk őket: Analóg bemeneti periféria. Digitális bemeneti periféria. Analóg kimeneti periféria. Digitális kimeneti periféria. A folyamatperifériák funkcionális egységei Jelcsatlakozás: a folyamatműszerekhez kapcsolódó jelvezetékek villamos csatlakoztatását, a vezetékek rendezését biztosítja. Kis átmeneti ellenállás, nagy szigetelési ellenállás, mechanikailag megbízható csatlakozók. Jelformálás: a jelillesztést biztosítja. Belső áramkör: alakítja át a bemeneti jeleket a számítógépbe bevihető digitális jelekké, illetve a számítógép által szolgáltatott digitális jeleket a folyamatműszerek által használható jellé. Perifériavezérlő egység: a számítógép és a folyamatperiféria közötti információforgalmat vezérli, szervezi. Analóg bemeneti periféria Az analóg bemeneti periféria az egyenfeszültségű folyamatjeleket alakítja át digitális jelekké. 30

31 24. ábra Analóg jel kvantálása A mintavételezés következtében előforduló jeltorzulások Aliasing zaj : a zavarok egyik legkellemetlenebb és gyakran csak nehezen elkerülhető oka a mintavételi tétel megsértése. Ha egy jel mintavételezésénél a szükségesnél kevesebb mintát vesznek, akkor az ún, aliasing jelenség lép fel : olyan spektrális komponensek jelennek meg, amelyeket a jel adott esetben nem is tartalmaz. Gyakorlatilag a nagyfrekvenciás jelet a hibás mintavételezés következtében kisebb frekvenciájú (nagyobb hullámhosszú) jellé torzítva érzékeljük. Kvantálási zaj : a mintavételezett jelek időben diszkrét értékei tisztán műszaki szempontok miatt is csak véges hosszúságú adatszavakká képezhetők le. A megengedett amplitúdótartomány véges számú, diszkrét amplitúdóintervallumra oszlik. Ezt a folyamatot amplitúdókvantálásnak nevezzük, mely fizikailag azt jelenti, hogy a mintavételezett értékek egy része eltér a mintavételi időpontokban ténylegesen fennálló amplitúdó értékektől. Ezek az eltérések a kvantáló szabályos működése esetében átlagosan fél kvantálási lépés értékűek lehetnek. Ezek az eltérések a jelértékekben mint a valódi amplitúdóértékekre szuperponált statisztikus amplitúdóeloszlású zavarjel jelentkeznek. Az így keletkezett zavarjelet kvantálási zajnak nevezik. A nem ideális mintavétel következtében keletkező zavarok. A mintavételi folyamatok tárgyalásánál általában hallgatólagosan feltételezik, hogy a mintavételi értékeket a mintavételi időpontokban pontosan és elenyészően rövid idő alatt lehet meghatározni, azaz a mintavételi jelek sorozata magának az analóg függvénynek és azonos távolságú tűimpulzusoknak a szorzataként értelmezhető. Egyetlen műszaki berendezés sincs azonban abban a helyzetben, hogy a mintavételezési folyamatot az elvileg megkövetelt, elenyészően rövid idő alatt valósítsa meg. Ez azt jelenti, hogy adott körülmények között a mintavételezendő jel amplitúdója még a mintavételezési fázis közben megváltozhat. 3

32 Az analóg bemeneti periféria részei 25. ábra az analóg bemenet általános felépítése 26. ábra Az analóg bemeneti periféria részei A jelformálás lehet: egyenáram-egyenfeszültség átalakítás, nullponteltolás, feszültségosztás, erősítés, hőelemek hidegpontkompenzálása, teljesítményerősítés, 32

33 analóg szűrés. Az erősítő feladata: az analóg jelszinteknek az A/D átalakító bemeneti jeltartományához való illesztése, zajelnyomás biztosítása, galvanikus leválasztás, esetleg mintavételezés és tartás megvalósítása. Rögzített erősítésű, Kívülről beállítható erősítésű, Programozható erősítésű. Analóg/digitális átalakító: a bemenetére kapcsolt analóg jelet kódolt digitális jellé alakítja. Lehet: Egypolaritású (unipoláris): csak egyféle előjelű analóg jel digitalizálására használható, a kimeneti jel csak az analóg jel nagyságát adja meg. Kétpolaritású (bipoláris): poz/neg analóg jel átalakítására alkalmas. A kimeneti kódból az analóg jel nagysága és előjele is meghatározható. Előjel + érték. Kettes komplemens. Eltolt nullpontú. Egyes komplemens. Szokásos bemeneti jeltartomány: 0-5V; 0-0V; ±2.5V; ±5V; ±0V. Gyors A/D átalakítás lépései: (Konverziós idő - 00 µs.) Az analóg jel csatlakoztatása az A/D átalakítóra, és az átalakítás indítása. A konverzió eredményének áttöltése a számítógép memóriájába. Lassú A/D átalakítás lépései: (Konverziós idő > 00 µs.) A multiplexer beállítása a kiválasztott analóg bemeneti csatornára. Átalakítás indítása. A relatív lassú konverzió miatt más programok futtatása. Az átalakítás végén megszakításjel generálása. A konverzió eredményének áttöltése a számítógépbe. Az A/D átalakítók jellemző paraméterei Felbontás. Általában : w szóhoszuságú A/D átalakító felbontása w bit értékű. Az abszolút kvantálási tartomány, a feszültségfelbontás, ha a feldolgozandó legnagyobb bemeneti feszültség U max : U = U max /2 w A legtöbb folyamatjelnél a mérés során elkerülhetetlen hibák miatt a mérőműszerek pontossága korlátozott ( jó estben 0. %), így a 4, 6 bit felbontás nagyobb pontosságot ad, mint amit a folyamatjel mérésénél elérhetünk. (Feltételezve, hogy az analóg jel jeltartománya megfelel az A/D konverter bemeneti jeltartományának! Erősítő beállítása! ) 33

34 Átalakítási hiba a Átalakítási értékhatár végérték %-ában bit decimális Szó-hossz (byte) Linearitás : Az átviteli jelleggörbe felhasználásával az analóg - digitális átalakító analóg bemeneti feszültségeihez egy megfelelő digitális számérték rendelhető. Az analóg - digitális átalakító működési módjának megfelelően ez a jelleggörbe természetesen diszkrét természetű, azaz lépcsőzetes. A helyes analóg - digitális átalakítás előfeltétele, hogy az átalakító a bemeneti feszültséget a teljes bemeneti jeltartományban lineáris függvénynek megfelelően, egymástól azonos távolságra levő lépésekre bontsa fel (ha nem állapodtak meg kifejezetten ettől eltérő jelleggörbében). A lépcső sarokpontjai egymástól azonos távolságban helyezkednek el egy közepes jelleggörbe mentén, amely 45 emelkedési szögű egyenes. A lépcsőzés eltéréseit az abszolút nemlinearitás fogalmával adják meg. Ennek értékét a legkisebb helyiértékű bithez tartozó érték tört részeiben adják meg. Ezen túlmenően megadható még egy relatív mérték, a differenciális nemlinearitás; ez a linearitás lépcsőfokról lépcsőfokra bekövetkező változásait adja meg. 27. ábra Lineáris átviteli jelleggörbe 28. ábra A hibafüggvény Erősítési hiba Szorosan összefüggenek az átalakítási jelleggörbével azok a hibák, amelyeknek az az oka, hogy a közepes jelleggörbe meredeksége 45 -tól eltér. Az analóg - digitális átalakítónak általában a maximális bemeneti feszültség esetében a kimeneten a lehető legnagyobb értékű kódszót kell szolgáltatnia. Ennek előfeltétele az átalakító belső elektronikus egységeinek, vagyis az erősítőknek, az ellenállás-hálózatoknak a pontos működése. Jellemzőiknek a névleges értékektől való eltérése a jelleggörbe 34

35 45 -tól eltérő meredekségével fejezhető ki. A legtöbb átalakító lehetővé teszi e hibák kiegyenlítését külső beállítóelemek alkalmazásával. 29. ábra Erősítési hiba Ofszethiba Az ofszetfeszültség fogalma a műveleti erősítők köréből ismert. Az ofszethibák a teljes bemeneti feszültségtartományban állandó hibafeszültséget okoznak, ami kódeltolással jár. A legtöbb jó minőségű analóg-digitális átalakítónál lehetővé teszik az ofszetfeszültség külső beállítását. Az analóg-digitális átalakítók helyes működése általában megköveteli az ofszet és a nemlinearitás pontos, kölcsönös kiegyenlítését, mert egyébként különösen a nagy felbontású átalakítók drágán megvásárolt előnye gyorsan elveszhet. 30. ábra Ofsethiba 35

36 Linearitási hiba 3. ábra Linearitási hibaaz analóg-digitális átalakítók működési elve Valamennyi használatos átalakítási eljárás alapvető elve az analóg feszültségértékek összehasonlítása valamilyen referencia feszültségek diszkrét készletével. A referenciafeszültségeket megfelelő kapcsolású áramkörök időben egymás után állítják elő, vagy már eredendően párhuzamos módon, diszkrét alakban állnak rendelkezésre. Az A/D átalakítók típusai Pillanatérték átalakító: az analóg folyamatjel pillanatnyi értékét lekérdezve, alakítja át digitális jellé. Integráló (középérték) átalakító: adott ideig (pl.: hálózati T) integrálja az analóg folyamatjelet, és ebből középértéket képez. A középértéket alakítja át digitális jellé. kt u( kt ) = u( t) dt T ( k ) T Jellemzője a nagy mérésbiztonság; a hálózati frekvenciájú zavarjelek mellett az aperiódikus zavaróimpulzusokat is csillapítja; viszonylag lassan változó analóg jelek esetén alkalmazható. Az iparban elterjedt. 36

37 32. ábra 33. ábra Az A/D konverterek összehasonlítása Pillanatértékes A/D átalakítók Az átalakítók ezen csoportjában az analóg jelfüggvény diszkrét időpontokban meghatározott, mintavételezett értékeit olyan feszültséggel hasonlítják össze, amely előre meghatározott program szerint lépésenként változik. Számláló elven működő A/D átalakító 37

38 A működés alapelve legegyszerűbben a számláló módszer alapján működő átalakítóval magyarázható meg. Ez lényegében egy komparátorból, órajelgenerátorból, számlálóból és egy digitális-analóg átalakítóból áll. 34. ábra Számláló elven működő A/D átalakító 35. ábra A konverzió menete számláló elven működő A/D átalakítóban Az órajelgenerátor állandó időközönként impulzusokat szolgáltat, amelyek egy bináris számlálóba jutnak. Az átalakítási folyamat úgy kezdődik, hogy a számlálót nullázzák, ekkor a D/A átalakító kimenetén megjelenő referencia feszültség nulla értékű. A számláló bemenetére jutó óraimpulzus a számláló állapotát eggyel megnöveli, ezért a D/A átalakító kimenetén megjelenő feszültség mindenkor egyetlen amplitúdólépéssel (kvantálási lépéssel) növekszik. Ha a D/A átalakító kimeneti feszültsége egy fél feszültségkvantálási lépésre megközelíti az analóg bemeneti feszültséget, akkor az S áramkör lezár, és a számláló működése leáll. Ekkor a számlálóban az analóg bemenő feszültség mintavételezéskor felvett értékének megfelelő kódolt digitális számérték található. A binárisan kódolt feszültségértékek regiszterbe kerülnek ahonnan a számítógép kiolvashatja őket. A számláló nullázása az átalakítási folyamatot újraindítja. Az egymást követő mintavételezési időpontok közötti időtartam tehát a bemeneti feszültség mindenkori amplitúdójától függ. Az összehasonlításához szükséges lépések maximális száma : 2 w. 38

39 A mintavételezés és a digitális adatszó kimeneti regiszterben való megjelenése között eltelt idő (conversion time): T c = T p + (2 w - )/f t ahol T p - járulékos idő, a számláló nullázásához, a regiszterbe történő átadáshoz, a D/A átalakító működéséhez, a komparátor, mintavevő és tartó áramkör beállásához szükséges idő. w : adatszó szélessége f t : az órajel-generátor frekvenciája. A D/A átalakító, a komparátor és mintavevő beállási idői megszabják az órajelgenerátor frekvenciájának és ezzel az elérhető maximális mintavételezési frekvenciának a természetes felső határát. A belső D/A átalakító kívánatos nagy szószélessége a sebesség szempontjából hátrányos, az összehasonlító lépések száma növekszik. Ezért ez az eljárás gyors jelfolyamatok számára nem alkalmas. Átalakítás a fokozatos közelítés elve alapján (successive approximation) Az átalakítási idő lerövidíthető az összehasonlításhoz szükséges lépések számának csökkentésével. A bináris számlálót adatregiszterrel helyettesítik. Ennek tartalmát egy vezérlő logikai rendszer előre megadott program alapján úgy módosítja, hogy a szükséges összehasonlítást lehetőleg kevés számú lépéssel lehessen elvégezni. 36. ábra Átalakítás a fokozatos közelítés elve alapján 39

40 37. ábra A fokozatos közelítés elve 5 biten Az analóg jel mintavételezett értékét először a legnagyobb helyiértékű bitnek megfelelő feszültségértékkel hasonlítja össze. Ha a bemeneti feszültség ennél nagyobb, akkor a bitet a regiszterbe helyezik, ha kisebb, akkor a bitet a következő ciklusban törlik. ezután a következő, kisebb helyiértékű bitet kapcsolják, és a D/A átalakító eredő kimenő feszültségét ugyanezen döntési elv alapján hasonlítják össze a mintavételezett értékkel. Az átalakítás akkor fejeződik be, ha a mintavételi feszültség és az összehasonlító feszültség a legkisebb helyiértéken egy fél kvantálási lépésnél kisebb mértékben tér el egymástól. Az összehasonlító lépések száma így w. Átalakítás egylépéses feszültség-összehasonlítással Az elvileg leggyorsabb módszer az, ha a jelet közvetlenül egy sor diszkrét feszültségértékkel hasonlítjuk össze, amelyek értéke egy-egy kvantálási lépéssel különbözik egymástól. 40

41 38. ábra Egylépéses feszültég-átalakítású A/D konverter Komparátorok száma: 2 w. Pl. 8 bit: 28- komparátor! A konverziós idő függ a komparátorok kapcsolási sebességétől és a vezérlőáramkörtől. Igen gyors. Pl.: 08 érték/sec, a bemenetnek így elég 0 ns-ig állandó értéken lennie. A minőség függ a komparátorok időbeli stabilitásától. Analóg közbenső értékes átalakítók 39. ábra Példa kétbites átalakításra 4

42 Az A/D átalakítók egy további csoportjára az jellemző, hogy az analóg bemenőjelet nem használják fel közvetlenül átalakításhoz, hanem azt előbb egy közbenső analóg mennyiséggé alakítják. Ez elsősorban akkor érdekes, ha a minősítésnél nem a gyorsaság, hanem inkább a linearitás és az olcsóság a fontos. Fűrészjeles átalakító A közbenső mennyiség ebben az esetben az idő. Az időmérés akkor kezdődik, amikor a fűrészjelgenerátror kimenő feszültségértéke áthalad a 0 V értéken, és akkor fejeződik be, ha a generátor kimenő feszültsége megegyezik az analóg bemeneti feszültséggel. Az időtartamot állandó impulzusismétlődési periódusú órajel-generátor impulzusainak megszámlálásával lehet meghatározni. Integráló típusú A/D átalakító Az integráló típusú A/D átalakítóknál az átalakítás az U x átalakítandó bemenő feszültség integrálásával történik, így az integrálási idő megfelelő megválasztásával a szuperponált (soros) zavarfeszültségeket nagymértékben, vagy teljesen el lehet nyomni. Az integráló típusú A/D átalakítók egyik fajtája, az ún. dual-slope (kettős meredekségű) átalakító, amelyben az U x mérendő feszültséget t állandó időn keresztül integrálják. Ha a t idő letelt, akkor a bemenetre fordított előjelű U ref referencia feszültséget kapcsolnak. Az a t x idő, amely ahhoz szükséges, hogy a kimenőfeszültséget nullára visszaintegrálják, ebben az esetben az U x mérendő feszültséggel arányos. Jellemzői: Az átalakítás pontossága nem függ az R és C elem ill. az f0 értékétől, mert töltési és kisülési üzemmódban azonos értékű. Szűri az f=/t frekvenciájú zavarjeleket. Pl. ha t = 20 ms, az 50Hz-es hálózati zavarjeleket csillapítja. Konverziós idő: t + t 2 +T p (lassú). (40 ms) Ipari igényeknek megfelel, a jó zajelnyomás miatt gyakran alkalmazzák. 40. ábra Kettős integráló A/D átalakító 42

43 4. ábra A kettős integráló A/Dátalakító jellemző feszülségjelei U t t 2 m = U N = f 0 N = f 2 0 ref t t 2 U m = U ref N N 2 Többcsatornás jelátalakítás Ha több, önálló jelvezetéken érkező jelfolyamot kell érzékelni és digitális adatokká átalakítani, akkor vagy több A/D átalakítót lehet párhuzamosan kapcsolni, vagy egyetlen átalakítót kell egymás után az egyes jelvezetékekre rákapcsolni. Erre a célra léteznek bemeneti analóg multiplexerrel ellátott átalakítók. Ezek időben egymás után letapogatják a bemeneti csatornákat, és a mintavételezett értékeket egymás után digitális adatértékekké alakítják. Ilyen esetben a csatornánkénti átalakítási idő a csatornák számával hozzávetőlegesen arányosan nő, ennek megfelelően csökken az egyes csatornáknál használható mintavételi sebesség. Időben kritikus feladatok (real-time feldolgozás) céljaira ezért lehetőleg több, egycsatornás A/D átalakítót célszerű párhuzamosan alkalmazni, amelyeket egy központi órajel-generátor kívülről bevezetett jelekkel indít. Ebben az esetben a multiplexelés a bemeneti oldalról a kimeneti oldalra kerül, az adatregiszterekben egyidejűleg rendelkezésre álló digitális adatértékeket az utána kapcsolt processzor 43

44 egymás után olvassa ki. Az átalakítók csak akkor vehetnek be újabb adatokat a jelcsatornákról, ha a kimeneti regiszterben levő adatokat a rendszer már kiolvasta. Az analóg bemeneti perifériavezérlő feladatai Végezetül felsoroljuk, hogy kiépítettségtől függően, milyen vezérlési feladatok léphetnek fel az analóg bemeneti periféria működtetésben. Periféria-cím és alcím dekódolása, méréspontváltó vezérlése. Beállási időnek megfelelő késleltetés. Erősítési tényező beállítása. Integrálási idő beállítása. A/D átalakító indítás; kimeneti regiszter olvasás, törlés. Megszakításjel engedélyezés, lekérdezés, törlés. Perifériateszt végrehajtása. A perifériakezelés történhet programozott, vagy megszakításos átviteli módban. 44

45 Analóg kimeneti periféria Az analóg kimeneti periféria feladata a számítógépből jövő digitális, időben diszkrét jelet folyamatos (egyenfeszültség vagy egyenáram) jellé alakítani. Az analóg kimeneti periféria felépítését az alábbi ábrán láthatjuk. 42. ábra Analóg kimeneti periféria vázlatos felépítése Az átmeneti tároló adatregiszter kimenete a D/A átalakítót vezérli. A D/A átalakító kimenete típustól függően feszültség vagy áramjel. A jelformáló áramkör lehet átkötés (lásd az ábrán), feszültség áram ill. fordítva átalakító, feszültség vagy áramosztó, nullponteltoló. A digitális-analóg átalakítás fogalma A D/A átalakító a bemenetére kapcsolt kódolt digitális jelet feszültség- vagy áramjellé alakítja át. Lehet egy- vagy kétpolaritású, a ditális bemenet 8, 0, 2 bites, a kimenet 0..5V, 0..0V, ±5V, ±0V feszültségjel, vagy ma, ma áramjel. 45

46 43. ábra Analóg kimenet funkcionális felépítése A feszültség-kimenetű digitális-analóg átalakítók általában lassúbb működésűek, mint az áramforrással megvalósított változatok. Az általános működési elvet a legegyszerűbben azokkal az átalakítókkal lehet megmagyarázni, amelyek a binárisan kódolt, mintavételezett jelértékeket analóg feszültségértékekké alakítják át. Feszültség-kimenetű digitális-analóg átalakítók Az egyszerűség kedvéért induljunk ki abból, hogy a jelértékek unipolárisak és a digitális adatfeldolgozó rendszerben bináris kódként férhetők hozzá. A műveleti erősítő áramösszegző bemenetére binárisan súlyozott áramokat kapcsolnak. A kimeneti feszültség arányos az áramok összegével. 44. ábra Feszültség-kimenetű digitális-analóg átalakító, a digitális adatszó:

47 Ahol D i : az i. bitpozíció értéke: 0/. A referenciafeszültség-forrás stabilitása és abszolút pontossága mellett az adott esetben az átalakító-rendszer legkritikusabb elemei az ellenállások, amelyek növekvő abszolút értékkel növekvő értéktűrése, valamint ezek hőmérsékletfüggése messzemenően meghatározzák a digitális-analóg átalakító minőségi jellemzőit. R-2R létrahálózatot tartalmazó D/A átalakító Leggyakrabban alkalmazott átalakító. A létrahálózat egyik végét egy nagy bemeneti ellenállású erősítő bemenetére, a másik végét 2R értékű lezáró ellenálláson keresztül földre kötik. A létrahálózat 2R értékű ellenállásait a digitális bemeneti kódtól függően vagy az U ref referencia feszültségre, vagy a földre kapcsolják. 47

48 45. ábra 4 bites digitális -analóg átalakító ellenálláshálózattal Példa: 4 bites D/A átalakító létrahálózattal 48

49 A D/A átalakítók beállási ideje µs nagyságrendű. Ipari alkalmazásoknál megvalósítandó a kimenetek galvanikus leválasztása is. Áramkimenetű digitális-analóg átalakítók A feszültségkimenetű digitális-analóg átalakítók mellett léteznek áramkimenetű változatok is. Ebben az esetben a kimeneten nem feszültségösszegzés, hanem a digitális-analóg átalakítók kimeneti vezetékében áramösszegzés következik be. 46. ábra Áramkimenetű 4 bites digitális -analóg átalakító A részáramokat az emitter-ellenállások súlyozása határozza meg, amelyek azonban csak akkor válnak hatásossá, ha az emitter-oldalon csatlakoztatott diódák alsó kivezetésén megfelelően nagy feszültség (logikai érték) jelenik meg. Ez utóbbi típusú digitális-analóg átalakítóval nagyon nagy átalakítási sebességet lehet elérni. A perifériavezérlő feladatai A kimeneti adatregiszter Írása, Olvasása, Törlése. 49

50 Digitális bemenetek A digitális jeleket, akár független bitek, akár összefüggő bitcsoportok, a számítógép a bemeneti perifériában a szóhosszúságától függő regiszterekbe gyűjti össze, a jelek szétválasztása és értelmezése a feldolgozó program feladata. A digitális bemeneti perifériák csoportosítása A digitális bemeneti perifériákat a gyártó cégek az alábbiak szerint csoportosítják: Digitális adatbemenetek: ellenőrzött állapotjelek fogadására. Megszakítás bemenetek: megszakítás funkciójú állapot-, vagy impulzus jeleket kezelik. Számláló bemenetek: ellenőrzött impulzus jelekre. A digitális adatbemenet általános felépítése 47. ábra Digitális bemeneti periféria Jelformálás TTL szintű jeleknél nincs átkötés Szűrés Feszültségosztó ( +szűrő) Optikai leválasztó Időzítő áramkört tartalmazó szűrő (pergésmentesítés) Beolvasás. A megfelelő cím címbuszra küldése. 2. Adatírás a beolvasó kapuáramkörön keresztül az adatregiszterből az adatbuszra. 50

51 A perifériavezérlő feladatai Beolvasás vezérlés. Adatregiszter törlés. Megszakítás bemenet Digitális folyamatjel jelformáló bemeneti regiszter változás-észlelő (0-) (megszakítás maszkolás) kapuáramkör adatbusz. A perifériavezérlő feladata. Bemeneti regiszter olvasás, Maszkolás utáni állapotszó olvasás/törlés, Megszakítás maszkregiszter törlés/írás, Periféria megszakítás engedélyezés/lekérdezés/tiltás. Számláló bemenet Digitális folyamatjel jelformáló tároló számláló kapuáramkör adatbusz Számláló üzem: a tárolók az impulzusjelet jelet átengedik, a számlálók összegzik. Kiolvasás: a számláló bemenete letiltva, a tároló egy impulzust képes rögzíteni. A tárolt érték kiolvasás után automatikusan növeli a számláló értékét. Számláló bemenet perifériavezérlő feladatai: Számlálálás engedélyezés/tiltás, Számláló olvasás, Számláló nullázás, Tároló törlés, Megszakítás engedélyezés/lekérdezés/tiltás. Digitális kimenetek Az alábbi ábrán látható a digitális kimeneti periféria általános felépítése. Megfelelően kialakított jelformáló áramkörökkel állíthatjuk elő a kívánt típusú, jelszintű, teljesítményszintű, esetleg galvanikusan leválasztott digitális jelet. 5

52 48. ábra Digitális kimeneti periféria Jelformálás A jelformáló áramkört az előállítandó digitális jel típusa, jelszintje, teljesítményszintje, a periféria és a felhasználói berendezés közötti távolság, a galvanikus leválasztási igény, a felhasználói oldalon alkalmazott tápegység kialakítási módja stb. szabja meg. Átkötés: TTL szintű jelek kivezetése: állapotváltás gyors(néhány µs), jelszint, teljesítményszint alacsony,. Akkor használható, ha a berendezés közvetlen a periféria közelében helyezkedik el, és biztosítható, hogy a FF és RF azonos potenciálon legyen. Optikaiag leválasztott kimenet: galvanikus leválasztást biztosít, a kimenethez felhasználói táp szükséges. Nyitott kollektoros kimenet: gyors, nagyobb jelszint (max. 30V) és teljesítményszint (néhány 0W), mint a TTL-nél. Max távolság a periféria és a berendezés között 30 m. Relés kimenet: állapotváltás lassúbb, (néhány ms), kapcsolható jelszint 00V, teljesítményszint 00W nagyságrendű. Galvanikus leválasztást biztosít, nem zavarérzékeny, a megengedett távolság kb. 00 m. 52

53 Kiírás. Címzés. 2. A kiválasztott bináris jel küldése a tároló-regiszterbe. 3. A tárolt jel kiküldése a kimeneti jelvezetékre. A perifériavezérlő feladatai: Kiírás vezérlés. Adatregiszter törlés. Programozható digitális be/kimenetek A programozható digitális egységek lehetővé teszik a felhasználónak, hogy igény szerint konfigurálhassa a digitális jelcsatlakozást be- vagy kimenetként. Típustól függően ez történhet adatszavanként, vagy akár bitenként is. 49. ábra Programozható digitális ki/bemenetek 53

54 50. ábra Példa programozható digitális ki/bemenetek bekötésére A fenntartott digitális jeleket a periféria adatregisztere vagy öntartó relék tárolhatják. Az impulzus típusú digitális kimeneti jeleket a perifériában vagy programmal állítják elő, - ez jelentős gépi időt igényelhet-, vagy ún. impulzusszám kimenetet alkalmaznak. A számítógép a perifériában lévő számlálóba beírja a kiadandó impulzusok számát, és elindítja a visszaszámlálást. A periféria a kimenetén minden visszaszámlálási ütemben egy meghatározott amplitúdójú és szélességű impulzust ad ki. 54

55 RS 485 alapú rendszerek Az RS232 rendszer a leggyakrabban és legrégebben használt szabványosított adatátviteli eljárás a számítástechnikában. Az RS-232 rendszernél kétirányú, más néven teljes duplex kommunikáció jön létre. Az RS-232 jel egy a testhez (táp ill. logikai test) képest létrejövő feszültség-szintként jelenik meg. Inaktív állapotban a jel a testhez képest mérve negatív (Mark), aktív állapotban a jelszint a testhez képest mérve pozitív (Space). Az RS-232 rendszer használhat különböző kézfogásos (handshaking) jeleket is. A kézfogásos jeleknél kétfajta lehetőség van, hardveres és szoftveres. Hardveres kézfogásnál a vevő vezérli DTA vagy ATS kimenő vezérlő vonalain keresztül az adó GTS és/vagy DSA kézfogásos bemeneteit. Szoftveres kézfogásnál a vevő speciális adatátvitel vezérlő jeleket küld az adó részére (pl. XON/XOFF). A soros vonali szabványok jellemzői EIA SZABVÁNY RS-232 RS-422 RS-485 aszimmetrikus szimmetrikus szimmetrikus Működési mód átvitel átvitel átvitel Meghajtók és vevők száma egy vonalon Max. kábelhosszúság meghajtó vevő (pont-pont) meghajtó 0 vevő (pont-pont) 32 meghajtó 32 vevő (multidrop) 5 m 200 m 200 m Max. adatsebesség 20 kbd 0 MBd 0 MBd Meghajtó feszültség ±5 V tól ± 5 V ± 2 V min. ±,5 V min Vevő érzékenység ± 3V ± 200 mv ± 200 mv Az alábbi ábra bemutatja az aszinkron soros adatátvitel elvét az "A" betű esetében. 5. ábra Aszinkron soros adatátvitel 55

56 52. ábra start stop eljárás Az RS-232 rendszer fő hibái. Többszörös test (föld) potenciálok léphetnek fel. Ha nem ugyanabból a hálózati csatlakozóból kap tápfeszültséget például a vezérlő szobában lévő számítógépünk, összekötve az üzem területén található szerszámgépet vezérlő PLC-vel. Ilyenkor zavaró és veszélyes áramok folyhatnak a FF vezetékeken és az RS-232 kábel GND vezetékén keresztül. Ilyen esetekben a legmegfelelőbb szigetelt csatolókat használni. 2. Érzékeny az elektromos zajokra, zavarokra. Az RS-232-es rendszer az aszimmetrikus volta miatt nagyon érzékeny a különböző külső elektromos zavarokra. Ezt a zajt csökkenthetjük a kábel árnyékolásával. 3. A kommunikáció csak rövid távolságnál működik. Max. vezetékkapacitás: 2500 pf max. kb. 5m kb. 50 pf/m 53. ábra a.: aszimetrikus, b.: szimetrikus átvitel 56

57 RS-422, RS-485 rendszerek Szimmetrikus átviteli rendszer (pont-pont kapcsolat), melyet nagyobb távolságokhoz és nagyobb adatsebességre terveztek. A szabványban csatlakozó típus és bekötés nincs definiálva, csak a jelkarakterisztikák. Az RS-422 adó kimeneti jelként +/-7V feszültséget állít elő mindegyik kimenetén. A vevőegység +/-200 mv jelet még érvényes jelként ismer el és fogadja azt. Az RS-422 rendszernél a meghajtó mindig engedélyezett állapotban van, míg RS-485 rendszernél a meghajtónak három állapota lehetséges. Az RS-422 hálózatot nem lehet igazi multidrop üzemmódban használni. Az átvitt jelnek két állapota van: Ha a meghajtó "A" kivezetése negatív a,,b"-hez képest a vonal logikai (MARK vagy OFF) állapotú. Ha a meghajtó "A" kivezetése pozitív a,,b" -hez képest akkor a vonal logikai 0 (SPACE vagy ON) állapotú. Az RS- 422 rendszer az átvitelhez sodrott érpárú vezetéket használ. Az állóhullám mentes jelátvitelhez a vezeték végét egy adott értékű ellenállással kell lezárni. RS-485 rendszer Fizikailag nem sokban különbözik az RS-422 szabványtól, és itt sincs csatlakozó formátum illetve bekötés meghatározva, csak jelkarakterisztikák. Az RS-485 szabványt 983-ban jelentette meg az EIA, legutolsó felülvizsgálata 998 március 3.-án, a szabvány teljes neve TIA/EIA-485-A, az európai változatának neve ISO/lEG Egy vonal-páron több adó és vevő is lehet. Két vezetéken fél-duplex, négy vezetéken teljes-duplex összeköttetés valósítható meg. 32 résztvevő lehet egy buszon (master vagy slave).ha az állomások száma > 32, vonalerősítőket [repeater] kell használni. A kommunikáció multidrop [üzenetszórásos] rendszerű. Az RS-485 rendszernél létezik egy az adáson és vételen kívüli állapot, amit harmadik állapotnak neveznek. Az RS-485 hálózat lehet két-vezetékes illetve négy-vezetékes. A maximális kábelhossz 200 m. Az RS-485 rendszer tipikus felhasználása, hogy egy számítógép (Master) több címezhető készüléket (Slave) vezérel ugyanazon a vezetéken keresztül. Csak egy adó lehet aktív állapotban, minden más adónak magas impedanciájú állapotban kell lennie. A Master címzett parancsokkal (protokollal) szólítja fel a Slaveeket az esetleges adásra. RS-422/485 hálózatnál sodrott (csavart) érpárt használnak a jelátvitelre, mely lehet árnyékolatlan sodrott érpár (UTP -Unshielded Twisted Pair) illetve árnyékolt sodrott érpár (STP - Shielded Twisted Pair). A csavarás biztosítja, hogy a szomszédos érpárok jelei ne hassanak egymásra, ne lépjen fel interferencia, jelkisugárzás. Az árnyékolt kábel (STP) használatával szűkíteni tudjuk az esetleges zavarok fellépésének lehetőségét. 57

58 54. ábra Négyvezetékes rendszer Kétvezetékes rendszerek Félduplex hálózat, egy adatátviteli út. Egy időben egy résztvevő küld adatot. Pl.: PROFIBUS. 55. ábra Kétvezetékes rendszerek 58

59 Az RS-485 hálózatoknál a meghajtó lekapcsolható az átviteli vonalról, ha nincs szükség az átvitelre. RS-232<>RS-485 konvertereknél illetve RS-485 kártyáknál leggyakrabban az RS- 232 RTS jelet használják az RS-485 meghajtó engedélyezésére, ezt aktív duplex kontrollnak is hívják. Az RTS vonal magas állapotban (logikai, MARK vagy OFF) engedélyezi az RS-485 meghajtót. Az RTS vonal alacsony (logikai 0, SPACE vagy ON) szintre történő állításával a meghajtó harmadik állapotba kerül. 56. ábra Példa: szerszámgép vezérlése Gyakorlati feladat A tantárgyhoz kapcsolódó gyakorlatokon feladat egy RS-485 hálózatban lévő mérésadatgyűjtő modul beállítása és a mért adatok folyamatos lekérdezése. A modul RS-232/RS-485 konverteren keresztül kapcsolódik a PC-re, a programozás LabViewban történik, a következők szerint. Kiindulásként a LabView soros a kommunikációs demó vi szolgál. Megfelelő paraméterezéssel lehetőségünk van folyamatos soros vonali táviratozásra. Így a paraméterek beállítása, adatok lekérdezése megvalósítható. Következő feladatunk a program olyan jellegű átalakítása illetve kibővítése, hogy a táviratból kivéve egy analóg bemeneti érzékelő pillanatnyi értékét (természetesen most még karakteres formában), átkonvertáljuk digitális számmá, és a mért értékeket folyamatosan diagrammban kirajzoltatjuk. A mintavételezési időköz beállítását is biztosítanunk kell. Az alábbi néhány ábra a a gyakorlati feladat megvalósításához kíván információkat adni. 59

60 7. ábra Az RS232-RS485 konverter specifikációja 8. ábra A konverter belső felépítése 60

61 9. ábra A konverter bekötése 0. ábra Hálózati példa 6

62 . ábra A hálózatba köthető modulok száma 2. ábra Analóg bemeneti modul specifikációja 62

63 3. ábra Az analóg bemeneti modul parancskészlete 63

64 4. ábra Az analóg bemeneti modul parancskészlete (folytatás) 5. ábra A kommunikációt biztosító Labview program diagram képe 64

65 57. ábra Alkalmazás állásos szabályozásra 65

66 Terepi buszrendszerek A hierarchikus elrendezésű irányítórendszerekben a valós idejű számítógép szintjén illetve az alatt az információtovábbításban központi szerepet játszanak a terepi buszrendszerek. A busz közvetlen kapcsolatot teremt a résztvevők között. A struktúra biztosítja minden résztvevőnek a vétel lehetőségét, probléma akkor jelentkezik, ha egy időben több résztvevő is adni akar. Emiatt szükség van olyan adatátviteli szabályokra, amelyek rögzítik, hogy egyidejűleg csak egy résztvevő adjon; az adásra várakozás időtartama ne lépje túl a folyamatváltozó által megkívánt nagyságrendet. (Időkritikus rendszerek.) A terepi buszrendszereknek az alábbi feltételeknek is meg kell felelniük: Ipari környezetben is alkalmazható hordozóközeg: Zavar és sérülés érzéketlenség (pl. nagy hőmérséklettartomány, szabad levegő, ipari klíma, tengeri klíma, mehanikai behatások, motorokból származó nagy induktivitások.); Hibatolerancia (pl. redundáns vezetékezés); Könnyű és gyors megfigyelhetőség, hibadiagnózis lehetősége; A buszhozzáférési eljárásnak garantálnia kell a valósidejűséget; Determinisztikus; Lehetőség az eredményorientált kommunikációra; Interrupt lehetőség; A beépítésnek megfelelő szintű átviteli sebesség és üzemelés. Az irányítóberendezés összköltségének max 0-20% lehet a buszköltség. A terepi buszrendszerek sokféle variánsa található meg a mai ipari gyakorlatban, amelyek a fizikai hordozóközegben és a buszhozzáférési módokban is különböznek egymástól. A folyamatközeli buszokat két további hierarchia szintre tagolhatjuk. Az érzékelő/beavatkozó szinten lévő buszrendszerek, amelyek a jellemzően önálló irányítási funkció nélküli berendezésekkel történő kommunikációt biztosítják, azok jeleit továbbítják. E csoportból kettőt tárgyalunk részletesebben: az ASI buszt és az INTERBUS-S-t. A terepi buszok másik csoportjának alkalmazási területe jellemzően (az intelligens érzékelőkön kívül) az önálló irányítási funkciókat is ellátó PLC-k, mikrokontrollerek, IPC-k egymás közötti információcseréjének és a magasabb hierarchiaszinten lévő folyamatbuszra történő csatlakozásának biztosítása. E csoportból példaként a PROFIBUS-al ismerkedhetünk meg részletesebben. Az alábbi táblázat az ipari automatizálás különböző felhasználási területein alkalmazott néhány buszrendszert sorolja fel. 66

67 Ipari buszrendszerek: Felhasználási terület Érzékelő/beavatkozó szint Üzemi automatizálás Épületautomatizálás Erőművi automatizálás Buszrendszer ASI busz INTERBUS-S VariNet-2 Merkur Me-Net PROFIBUS BITBUS (Intel) FIP (Factory Instrumentation Protocol) SERCOS (Serial Real-Time Comm. System) II/O-bus (Industrial I/O) LON (Local Operating Network EIB (European Installation Bus) Powerline HBS (Home-Bus-System) SAE J850 ABUS I-, M-, P-bus Buszrendszerek az érzékelő/beavatkozó szinten A gyártási/termelési folyamathoz legközelebb lévő szint az érzékelők, beavatkozók szintje. Az érzékelő/beavatkozó szinten lévő buszrendszerrel szemben támasztott követelmények Képes különböző gyártó érzékelő/beavatkozóinak fogadására; Rövid a reakcióidő; Alacsony csatlakoztatási költségek; Kis beépítési méret; Egyszerű kezelhetőség kábeleznél és üzemközben. (A terepi ill. még magasabb szinten nem vagy alig megvalósítható : pl. 0 ms ciklusidő, olcsó érzékelők/beavatkozók közvetlen csatlakoztatása.) Az érzékelő/beavatkozó szintű buszrendszerek lehetséges kialakítási módjai az érzékelők/beavatkozók az irányítószinttel (PLC, IPC) közvetlen pont-pont kapcsolatban; az érzékelők/beavatkozók egy csoportja csillagszerűen egy be/kimeneti egységhez csatlakozik, és onnan összefogva egy buszon keresztül egy magasabb irányítási szintre kapcsolódnak; az érzékelők/beavatkozók saját buszcsatlakozóval rendelkeznek és a buszon keresztül közbenső szint nélkül, közvetlenül kommunikálnak az irányítószinttel. 67

68 58. ábra érzékelők/beavatkozók csatlakoztatása az irányítási szintre Az AS-Interface (Actuator Sensor Interface: ASI) Az AS-Interface -t 990-ben fejlesztették ki, és eredetileg olyan terepi buszrendszernek tervezték, amellyel bináris érzékelőket és beavatkozókat egy magasabb hierarchia szinten lévő vezérlőberendezésre csatlakoztathatnak. Ilyen berendezés lehet pl.: PLC, NC-gép, mikrovezérlő vagy IPC. Az ASI tehát a legalacsonyabb terepi szintet célozta meg, ahol addig még nem volt technikailag és műszakilag megfelelő alternatívája a párhuzamos kábelezésnek. Az ASI kábel a tápfeszültség-ellátást és az adatátvitelt is biztosítja. Az AS-Interface gyors fejlődésének köszönhetően mára a technológia bizonyította jóságát. Ma már több százezer eszköz és alkalmazás használja az automatizálás minden területén. Felhasználható intelligens érzékelők összekapcsolására és távoli konfigurálására, DC és AC tápfeszültség-ellátásra, különböző gyártóktól származó eszközök együttműködésére és analóg jelek átvitelére. Az ASI-hálózat felépítése: Az ASI hálózat moduláris felépítésű. 59. ábra ASI buszrendszer 68

69 60. ábra Az ASI-buszrendszer moduláris felépítése A 3. ábra jelölései: a,b: csatoló modulok c-f: felhasználói modulok. A: passzív felhasználói modul, saját elektronika nélkül: elágazásokra. C,d,e: aktív felhasználói modulok: hagyományos érzékelők/beavatkozók illesztésére, integrált slave-modullal. C,d lehet passzív is, ha az érzékelő/beavatkozó intelligens ASI-kimenettel rendelkezik. Galvanikus leválasztásra is használható felhasználói modul: h. G: intelligens érzékelő, beépített ASI chippel. A felhasználói modul lehet önmaga is érzékelő: f. Az ASI-kábel Árnyékolatlan kétvezetékes szalagkábel, amely az adatok és a tápenergia továbbítására is szolgál. Nincs szükség a kábel elvágására ill. blankolásra, mivel a konnektor lezárásakor a tűk átszúrják a szigetelést és létrejön a fémes kontaktus. Hossza max. 00 m. Slavenként max. 00 ma áramfelvétel megengedett, a teljes hálózatban max. 2 A (24 V). A nagyobb tápellátást igénylő berendezések helyi tápegységre kapcsolhatók. A hálózati topológia tetszőlegesen alakítható (csillag, fa, vonalas). ASI-átviteli rendszer A buszhozzáférés: master slave ciklikus polling. A teljes hálózatot a master vezérli automatikusan, ami a hálózathoz csatlakoztatott eszközöket adatok küldésével és fogadásával lekérdezi. Automatikusan érzékeli és regisztrálja a csatlakoztatott eszközöket, ezért nincs szüksége sem konfigurálásra, sem alkalmazás-specifikus szoftverre. Egyszerre egy master és max 3 slave vehet részt a kommunikációban. Az ASI-távirat felépítése: Master-hívás, master-szünet, slave-válasz, slave-szünet. 69

70 A master-hívás: 4 bitidő, a slave-válasz 7 bitidő. (Egy bitidő itt 6 µs.) A master szünet 3-0 bitidő. Ha ez alatt nem érkezik válasz, a master a következő lekérdezésre tér át. A slave-szünetnek elég egy bitidő. A ciklusidő így kb. 5 ms, 3 slave esetében. A ciklusidő függ a csatlakoztatott slavek számától, 6 slave esetén pl. ms. (Előfordulhat, hogy az 5ms-onkénti folyamatleképezés veszélyes üzemben kevés lehet!) A hibás, vagy hiányzó slave-válasz esetén a master megismétli a táviratot, ami megnöveli a ciklusidőt (0,5ms). Ha ismételten hibás a távirat, jelentést küld a vezérlőnek, amely átveszi a további hibakezelést. 6. ábra Az ASI távirat szerkezete Modulációs eljárás: APM (Alternating Pulse Modulation) moduláció az ASI-ra kifejlesztve: A csatorna adatokat Manchester kódolással állítják elő. Az eljárás kielégíti az alábbi feltételeket: egyenáram mentes, hogy az energiaellátást is biztosítsa; A master és a slave a legegyszerűbb módon elő tudja állítani; Keskenysávú, mivel a kábel csillapítása a frekvenciával emelkedik. 70

71 62. ábra Átviteli csatorna moduláció Az ASI-slave felépítése 63. ábra Az ASI slave belső felépítése 7

72 Az ASI slave-t integrált áramkörrel valósítják meg, nincs processzor ill. szoftver igénye, ezért igen gyors a távirat feldolgozása. Az ábra jelölései: Interface (érzékelő/beavatkozó oldal): D0 D3 adatbe/kimeneti portok P0 P3: paraméter kimeneti portok Reset: a slave összen funkciójának resetelése. Uout: tápfeszültség az É/B felé. Interface 2 (buszoldal): ASI+/ASI-:ASI kábel csatlakoztatása Vevő: A detektált impulzus szűrése, digitalizálása, regiszterbe írása. Adó: Adóregiszterből olvasás, APM kódolás, jelvezetékre küldés. Vezérlés: masterhívás dekódolás, hibatesztelés, parancsvégrehajtás, válaszküldés. A slave-chip néhány fontosabb regisztere: Címregiszter: 5 bit széles, a slave aktuális címét tárolja. Resetre az EEPROM-ban tárolt értékkel felülíródik. Speciális master parancsara is felülírható. I/O konfigurációs regiszter: 4 bit széles, a 4 adatport konfigurálására. A slave gyártásakor rögzítik, nem módosítható. Egy-egy portot lehet bemenetnek, kimenetnek vagy kétirányúnak konfigurálni. Adatkimeneti regiszter 4 bit széles, az utolsó, hibátlan hívás adatait tartalmazza. Az I/O konfigurációnak megfelelően a biteket kiküldi az adatkimenetre. Paraméterkimeneti regiszter. 4 bit széles, a master utolsó paraméterhívását tartalmazza. A megfelelő paraméterkimenetre ezeket az adatokat küldi ki,. Ezek a paraméterek az intelligens érzékelők/beavatkozók különleges funkcióinak vezérlésére szolgálnak. Aciklikus hívás jellemző. Adatbiztonság Az APM kódolt ASI-táviratnak a következő szabályoknak kell megfelelniük: Startbit: Minden távirat első impulzusa negatív impulzus. Alternálás: két egymást követő impulzus különböző polaritású legyen. Impulzus szünet: a távirat két impulzusa között max. egy impulzus hosszúságú szünet lehet. Információtartalom: az egyes bitek összege páros szám. Endbit: A kódszó utolsó impulzusa pozitív. Híváshossz: az endbit után nem lehet további impulzus. Minden ASI résztvevő ellenőrzi a vett kódszót a fenti szabályok szerint. Ez igen nagy megbízhatóságot jelent egy ill. és kétszeres impulzushibát mindig észrevesz. A háromszoros impulzushibát 99,9999%-ban felismeri. Teoretikus számítások szerint 72

73 0 hiba/s hibaarány esetén csak 0 évenként egy hibás táviratot nem venne észre a rendszer. Összegezve: Az ASI buszrendszer a legalacsonyabb hierarchia szinten még meglévő párhuzamos kábelezés kiváltására törekszik. Előnyei: A modulrendszernek köszönhetően az ASI-hálózat egyszerűen és gyorsan kiépíthető. Tápfeszültség és adat egyidőben egyszerű és olcsó ASI kábelen továbbítható. A felhasználónak nem kell a mastert ill. a slavet programoznia, a szoftvert a gyártó szállítja. Előnye a többi terepi busszal szemben: Ezen a hierarchiaszinten túldimenzionáltak, így drágák és nem mindig tudják kielégíteni a valósidejűség feltételét. Hátránya: Csak 3 slave (max. 24 érzékelő/beavatkozó) csatlakoztatható egy hálózatba. (Lehetőség van párhuzamos ASI hálózatokra). Esetenként problémát jelenthet a relatív rövid kábelhosszúság. Ez szükség esetén repeaterekkel áthidalható. Az 5 ms ciklusidő nem túl rövid, de sok PLC-vezérlésnek megfelelő. Nagy hátránya, hogy digitális jeleket nem tud átvinni, de ez az ára annak, hogy az ASI-távirat egyszerű és megfelelő teljesítményű legyen. 73

74 Interbus-S Az Interbus-S-t a Phoenix Contact 987-ben vezette be a piacra, mint egy egyszerű érzékelő/beavatkozó (aktor/sensor) buszt. Alkalmazása elsősorban az időkritikus működtetést kívánó folyamatok közelében hatásos, mivel a busz determinisztikus tulajdonságú. Az Interbus-S ciklusideje pontosan kiszámítható és függ az állomások számától. (Általában néhány ms.) A hálózat gyűrű topológiájú, a masterből kiinduló főgyűrűhöz algyűrűk csatlakozhatnak. Az adatvezetékek oda-vissza végigmennek az összes készüléken, így vonalas vagy fa struktúrára emlékeztetnek. A fő vonalon található a busz-master, alhálózatok 6 szint mélységig csatlakoztathatók (max. 52 állomás). A buszrendszer a változó alkalmazásokhoz tehát gyorsan adaptálható. Az utolsó állomásnál a gyűrű automatikusan záródik. A pont-pont csatlakozás a lezáró ellenállást szükségtelenné teszi. 64. ábra INTERBUS topológia Speciális jellemzői következtében az Interbus-S igen népszerű a gyártóiparban. A nagyon egyszerű és hatékony protokoll eredményeként a csatlakoztatási költség alacsony, az állomás kiépítés egyszerű. A periféria-berendezések minden különösebb nehézség (szoftverfejlesztés stb.) nélkül, azonnal csatlakoztathatók. A protokollal szemben támasztott feltételek száma emelkedik az érzékelők/beavatkozók számával. A master/server busz max. 256 résztvevővel tud kommunikálni. A standard átviteli sebesség 500 kbit/s. Távolabbra lehetséges a 25 kbit/s (nem időkritikus alkalmazások), és 2 Mbit/s sebesség (tervezett), max. 3 km 74

75 összes buszhosszon. Két távoli állomás között max. 400 m távolság lehet. A teljes hossz max. 3 km. Az Interbus-S Fizikai rétege Sodrott érpár (RS 485) vagy optikai kábel. Minden buszcsatolónál változhat a hordozóközeg. Az INTERBUS hálózat elemei Hálózatvezérlő: (master). Feladatai: távirat küldés/fogadás, adatátvitel-monitorozás, diagnosztikai üzenetek kijelzése, hibaüzenet továbbítás a magasabb hierarchiaszintre. Távoli busz(remote bus): a távoli állomások a masterhez a távoli buszon keresztül csatlakoznak. Buszállomás (Busterminal): buszterminál funkciókkal ellátott berendezések. A buszterminálból elágazó elosztott helyi buszszegmensek I/O modulokkal biztosítják az érzékelők és beavatkozók és az INTERBUS közötti kapcsolatot. A buszterminál a rendszert önálló szegmensekre tagolja, megengedi az elágazások működés közbeni leválasztását, bekapcsolását. Tápforrásként szolgálhat, ezenkívül erősíti az adatjelet (repeater funkció) és galvanikusan leválasztja (izolálja) a szegmenseket. Helyi busz (Local bus): a helyi busz a busz interface modulon keresztül ágazik le a távoli buszról, és a helyi buszkészülékeket csatlakoztatja. Ezen a szinten nem lehetnek elágazások. A kommunikáció tápfeszültség szükségletét a buszterminál biztosítja. A kimenetek kapcsolási feszültségét önálló tápegységek adják. Ahelyi (lokális) buszon tipikusan I/O modulok találhatók. Hurok (Loop): Elosztott érzékelők és beavatkozók felfűzésére szolgál az INTERBUS-Loop (hurok). A kétvezetékes árnyékolatlan kábel együttesen szolgálja a tápfeszültség és az adat továbbítását. 75

76 65. ábra Az INTERBUS hálózat elemei Forrás: INTERBUS Basics Adatátvitel A TDMA (Time Division Multiple Access) buszhozzáférési eljárás következtében minden résztvevő fix időszelettel rendelkezik. Minden állomás ugyanazt az összesített táviratot kapja. 66. ábra Az Interbus S távirat felépítése Loopbackwod: 6-bit a master új táviratának kezdete. Data/Para: 6 bit hálózati adat 2 bit start/stop, azaz aszinkron pont-pont átvitel 3 kontrollbit (data/para megkülönböztetés) CRC: 6 bit CCITT keret kontrollszumma CTR: 6 bit amelyben az állomások jelzik a masternek a hibátlan adatátvitelt. 76

77 67. ábra Az összesített távirat Az Interbus az egyetlen olyan buszrendszer, amely azonos protokoll keretet használ az összes készülékhez. Ebben a master/slave elérési módszerben a master tölti be a magasabb hierarchia szintek felé a csatoló szerepét. A rendszer megengedi a szimultán adatküldést és fogadást (teljes duplex rendszer). Résztvevőnként foglalt adott számú bitpozíció, amelyen a folyamatadatokat ciklikusan és egyenlő időközönként továbbítja. Ez biztosítja a pontosan kiszámítható ciklusidőt. Gyakorlatilag a módszer felfogható úgy, mint egy regiszter, amelyet a gyűrűbe kapcsolt berendezések képeznek. Az INTERBUS-ban ez számos bináris memóriacellát jelent, amelyek a digitális információt órajel ütemére léptetik. Nem időkritikus alkalmazások adott bitpozíciókon aciklikusan küldhetnek adatokat. Minden résztvevő megkapja a táviratot, kiveszi a saját információit, és beilleszti a masternek szóló adatokat. Az INTERBUS készülékek három párhuzamos regiszterük van. Az I/O adatokat az adatregister segítségével továbbítják. Az INTERBUS készülék típusát az azonosító regiszter tartalmazza. Ez teszi lehetővé, hogy a master beazonosítsa a késdzülékeket és a busz topológiáját, illetve a címzést. Az adatbiztonságot szolgálja a CRC6 (cyclic redundancy check) regiszter, a korrekt adatátvitel ellenőrzésével. 77

78 68. ábra Az Interbus-S lineáris távirati forgalma Az Interbus jól illeszkedik a hierarchikus irányítási rendszerekbe, mint alacsony szintű buszrendszer. Az alábbi ábrán a PLC Ethernet hálózaton kapcsolódik a mérnöki munkaállomásra, míg a terepi eszközöket, berendezéseket Interbusra fűzték fel. 69. ábra Vertikális integráció INTERBUS Basics:

79 Terepi buszok A terepi buszok fogalomköre nem határolható le élesen. Gyakran az é/b buszokat is idesorolják.) Az é/b szint fölötti buszrendszerek, általában multi-masteres buszok. A terepi buszokkal szemben támasztott főbb követelmények: Távolság: néhány métertől néhány kilométerig. Flexibilitás: problémamentes bővítési lehetőség. Szigorú időzítési elvárások: gyors rendszer-reakcióidő, valósidejűség. (a reakcióidő alkalmazásfüggően ms, s intervallumban.) Gazdaságossági okokból: soros buszrendszerek. Nagyfokú megbízhatóság. PROFIBUS (Process Field Bus) A 4 gyártó és 5 tudományos intézet által 987-ben bevezetett buszrendszer Németországban nemzeti terepbusszá vált, de egész Európában elterjedten alkalmazzák. A PROFIBUS nyitott, digitális kommunikációs rendszer az alkalmazások széles körével, különösen az üzem és folyamatautomatizálás területén. Alkalmas mind a gyors, időkritikus, mind a komplex kommunikációs feladatokra. A terepbuszok területén történő szabványosítási törekvések eredményként az International Electrotechnical Commission (IEC) 2000-ben kiadta a Fieldbus Standards Series második sorozatát, melyben az IEC 658 szabvány 0 fajta hálózati protokoll típust ismertet, a PROFIBUS a 3. típus. A PROFIBUS olyan soros buszrendszer, amellyel elosztott digitális programozható vezérlőberendezések köthetők hálózatba, terepi szinttől üzem (cella) szintig. A PROFIBUS multi-master rendszerű, és így lehetővé teszi többféle automatizálási, tervezői ill. vizualizációs rendszer, és azok elosztott perifériáinak közös működését egy buszon. A PROFIBUS megkülönbözteti az alábbi típusú készülékeket: Master készülékek: meghatározzák a buszon folyó adatkommunikációt. A master külső engedélyezés nélkül üzenetet küldhet, amikor a buszhozzáférési jogot (token) birtokolja. A mastert aktív állomásnak is nevezik. A slave-készülékek I/O perifériák, szelepek, meghajtók, mérőátalakító-távadók. Nem rendelkeznek buszhozzáférési joggal, csak nyugtázhatják a kapott üzeneteket, vagy ha megkapták rá az engedélyt, válaszolhatnak a masternek. A slavek passzív állomások. Mivel a buszprotokollnak csak kis részére van szükségük, gazdaságos az implementálásuk. A PROFIBUS fejlesztőinek célja egy olyan bit-soros terepbusz kifejlesztése volt, amely a terepi interfészek egységesítését segíti. Elsőként a komplex kommunikációs protokollt, a PROFIBUS FMS-t (Field Bus Message Specification) rögzítették. A PROFIBUS-FMS az üzemszintű kommunikációs feladatok általános megoldását szolgálja. A széleskörű FMS szolgáltatások nagy rugalmasságot biztosítanak. A PROFIBUS-FMS a kiterjedt és bonyolult kommunikációs feladatok megoldását is támogatja. 993-ban elkészült a sokkal egyszerűbben konfigurálható PROFIBUS DP (Decentralised Periphery) protokoll, melynek jelenleg három verziója van: DP-V0, DP-V, DP-V2. A PROFIBUS DP-t a nagysebességű és olcsó összeköttetésekre optimalizálták, elsősorban automatikus vezérlőrendszerek és elosztott I/O eszközök kommunikációjára. A PROFIBUS-DP felhasználható párhuzamos adatforgalomra is (24 V vagy ma mellett ). 79

80 A PROFIBUS harmadik verziója a PROFIBUS-PA, folyamatszabályozási célokra használható. Lehetővé teszi érzékelők és beavatkozók közös buszra csatlakoztatását, nagy megbízhatóságot igénylő környezetben is. A 2-vezetékes technológiával egyszerre szolgál kommunikációra és tápfeszültség továbbítására. 70. ábra A PROFIBUS fajtái Forrás: PROFIBUS Workshop A PROFIBUS FMS és DP-nek közös a fizikai hordozóközege: az RS 485 rendszer, vagy az optikai kábel. A PROFIBUS PA fizikai hordozóközege a DIN EN (3,25 kbit/s) kétvezetékes rendszer (MBP: Manchester Coded, Bus Powered). 7. ábra Különböző típusú PROFIBUS komponensek elosztott irányítási struktúrában 80

81 72. ábra Forrás: A PROFIBUS fejlesztések célja (IEC658-2) A jelenlegi technikai fejlesztés céljául tűzték ki, hogy a hagyományos 0Mbit/s és 00 Mbit/s Ethernet komponenseket a PROFIBUS fizikai régeként használhassák. (Lásd: PROFInet.) Alkalmazói profilok PROFIBUS protokoll A protokoll-architektúra megfelel az OSI (Open System Interconnection) referencia modellnek. Természetéből következően az. 2. és 7. szintet definiálja. Lásd az alábbi ábrát. 73. ábra A PROFIBUS rétegei 8

82 A PROFIBUS alkalmazói profilok írják le a kommunikációs protokollok közti kapcsolatokat, és a terepi eszközök kommunikációs tulajdonságait. A legfontosabb alkalmazási profil a PROFIBUS-PA, amely az automatizálási eszközök (mérő/átalakítók, szelepek, pozícionálók) paramétereit és függvényeit definiálja. 74. ábra PROFIBUS profilok A PROFIBUS kommunikációs profil egységes közeghozzáférési protokollt használ, amelyet a OSI referenciamodell 2. rétegébe ültettek be. A PROFIBUS 2. rétegének neve: Fieldbus Data Link (FDL). A közeghozzáférés-vezérlés (Medium Access Control, MAC) határozza meg az állomások adattovábbítási jogát. Biztosítja, hogy egyidejűleg csak állomás táviratozhasson. A PROFIBUS protokoll kielégíti a közeghozzáférés-vezérlés két alapvető követelményét: Komplex szabályozó rendszerek (masterek) kommunikációjakor biztosítani kell, hogy egy jól definiált időintervallumon belül minden állomásnak elegendő ideje legyen kommunikációs feladatának végrehajtására. A komplex programozható vezérlő és a hozzá tartozó I/O eszközök (slave-ek) közötti ciklikus, valósidejű adatátvitelnek a lehető leggyorsabbnak és legegyszerűbbnek kell lennie. A PROFIBUS közeg-hozzáférési protokoll tartalmazza egyrészt a vezérjel-továbbítási (token-passing) eljárást a komplex buszállomások (masterek) közötti kommunikációra, másrészt a master-slave eljárást a komplex buszállomás és az egyszerű perifériák (slavek) közötti kommunikációra. 82

83 75. ábra PROFIBUS konfuguráció aktív masterekkel és slavekkel. Forrás: PROFIBUS Technology and Application, okt. Az ábrán látható három master logikai vezérjeles gyűrűt alkot. Amikor egy aktív állomás megkapja a token telegramot, egy meghatározott ideig masterként viselkedhet. Ez idő alatt minden passzív állomással kommunikálhat master-slave kommunikációs kapcsolatban és minden aktív állomással master-master kommunikációs kapcsolatban. A vezérjeles gyűrű az aktív állomások szervezési lánca, mely az állomások címe alapján logikai gyűrűvé formálódik. Ebben a gyűrűben a vezérjel (a buszhozzáférési jog) továbbítódik az állomások között meghatározott sorrendben (növekvő címek szerint). A buszrendszer indítási fázisában a az aktív állomások közeghozzáférésvezérlésének (MAC) feladata, hogy meghatározza ezt a logikai hozzárendelést és létrehozza a vezérjeles gyűrűt. A működési fázisban a meghibásodott vagy lekapcsolt (aktív) állomásokat eltávolítja a gyűrűből, illetve bevonja az új állomásokat. A buszhozzáférés-vezérlés biztosítja, hogy a vezérjel a növekvő címeknek megfelelően adódjon át az állomások között. A masterek aktuális vezérjel-tartási ideje (THT) függ a beállított vezérjel körbefordulási időtől (TRT). Ezen kívül az átviteli közeg és a vonali vevő hibáinak felismerése, az állomások címzési hibáinak (pl. többszörös cím) és a vezérjel továbbítási hibáinak (pl. többszörös token, elveszett token) detektálása tartozik a PROFIBUS közeghozzáférés-vezérlésének feladatai közé. A 2. réteg másik fontos feladata az adatbiztosítás. A 2. rétegbeli PROFIBUS keretformátumok nagy adatintegritást biztosítanak. Minden telegram 4 Hamming távolságú. Ezt az IEC nemzetközi szabványban definiált módon, speciális start/end delimiterek, paritásbit, konrtroll-byte használatával, csúszásmentes szinkronizációval érik el. A PROFIBUS 2. rétege összeköttetés-mentesen működik. A logikailag egyenrangú állomások közötti adatátvitelen kívül az üzenetszórást (broadcast és multicast) is támogatja. A broadcast kommunikáció azt jelenti, hogy az aktív állomás egy nyugtázatlan üzenetet küld az összes többi állomásnak (masterek és slave-ek). A multicast kommunikációban az aktív állomás az állomások (masterek és slave-ek) egy előre meghatározott csoportjának küld nyugtázatlan üzenetet. A PROFIBUS kommunikációs profilok mindegyike a 2. réteg szolgáltatásainak egy meghatározott részhalmazát használja. A szolgáltatásokat a magasabb réteg a 83

84 szolgálat elérési pontokon (SAP: Service Access Points) keresztül hívhatja. Az FMS ezeket a SAP-okat használja a logikai kommunikációs kapcsolatok címzésére. A DP-ben minden SAP-hoz pontosan definiált funkciók tartoznak. Az aktív és a passzív állomások esetében is több SAP használható egyszerre. Különbséget tesznek forrás (SSAP) és cél (DSAP) szolgálat elérési pont között. PROFIBUS-FMS A cella (üzem) szintű kommunikációra tervezték, PLC-k, IPC-k között. A DP fejlődésével egyre kisebb jelentőségű lett. PROFIBUS-DP Egyszerű, gyors, ciklikus és determinisztikus folyamatváltozó adatcserét tesz lehetővé a busz-master (központi irányítóelem: PLC, PC, folyamatirányítógép) és a slave (elosztott folyamat I/O eszközök, motorok, szelepek, távadók) között. Az eredeti (V0) verziót továbbfejlesztették, A DP-V aciklikus adatcserét is biztosít. A legutolsó verzió, a DP-V2 közvetlen slave slave kommunikációt is támogat, isochron buszciklussal. A hibrid buszhozzáférési protokoll (2. réteg) definiálja a master slave eljárást és a masterek közötti token-passing eljárást. A 2. réteg taszkjai tartalmazzák az adatvédelmi és adatkeret (frame) kezelő függvényeket is. Szolgáltatás Funkció DP/PA FMS SDA Send Data with Acknowledge SRD Send and Request Data with reply SDN Send Data with No acknowledge CSRD Cyclic Send and Request Data with reply táblázat: A PROFIBUS adatbiztonsági rétegének (2. réteg) szolgáltatásai A PROFIBUS-DP alapfunkciói (DP-V0) A master ciklikusan olvassa/írja a slavek információit. A ciklusidőnek rövidebbnek kell lennie, mint a központi rendszer program ciklusideje, ami többnyire 0 ms körüli érték. A PROFIBUS-DP 2 Mbit/sec-on kb. ms alatt továbbít 52 bit input és 52 bit output adatot 32 állomásra elosztva. A felhasználó számára az egyszerű installáció és javítás, a jó diagnosztikai képesség és a bizonyítottan hibamentes átviteli technológia szintén fontos tényezők. A PROFIBUS-DP készülékhez, modulhoz és csatornához kapcsolódó diagnosztikai funkciókat is biztosít. Rendszerkonfiguráció és eszköztípusok A PROFIBUS-DP mono-master és a multi-master rendszerkonfigurációt is támogat. A buszra max. 26 állomás (master és slave) csatlakozhat. A rendszerkonfiguráció specifikáció meghatározza az állomások számát, hozzárendeli az állomás címeket az I/O címekhez, meghatározza az I/O adatok konzisztenciáját, a diagnosztikai üzenetek és a felhasznált buszparaméterek formátumát. A DP rendszer 3 különböző állomástípust ismer. I.osztályú master (DPM) :A az információcsere ciklikus a központi vezérlő (aktív állomás) és az elosztott állomások között. Meghatározza az adatátviteli sebességet, kezeli a vezérjelet (token). Az I.típusú master tipikusan PLC funkciókat lát el. 84

85 II.osztályú master (DPM2): Aktív buszhozzáférési joggal rendelkező állomás, amely nincs állandóan a buszrendszerre csatlakoztatva. Diagnosztikai és konfigurációs eszköz, egyidőben egy slavet tud vezérelni. (PC funkciók.) Slave álllomás: Passzív állomás, amely nyugtázza az üzenetet, vagy kérdésre válaszol. Feladata az input adatok begyűjtése és az output adatok perifériák felé továbbítása. Vannak olyan készülékek, amelyek csak input vagy output információkat támogatnak. Az input és output információk mennyisége típusfüggő. Max 246 byte input és 246 byte output adat engedélyezett. A mono-master rendszerekkel érhető el a legrövidebb ciklusidő. 76. ábra A PROFIBUS DP állomásai, és a köztük lévő lehetséges kapcsolatok Forrás: PROFIBUS_lucidi Egy eszköz többféle funkciót is elláthat.: pl. DPM és DPM2, DPM és slave, stb. Amikor az egyik master birtokolja a vezérjelet, a másik PLC a előbbihez képest slave funkciójú lehet. Ez a megoldás nagy rugalmasságot biztosít. Két mono-master rendszer gateway-en keresztül is kommunikálhat max 244 byte-os távirattal. 77. ábra Két mono-master rendszer gateway-en keresztül kommunikál 85

86 A PROFIBUS-DP rendszer viselkedését elsősorban a DPM működési állapota határozza meg. A DPM lokálisan vagy a buszon keresztül a konfigurációs eszközről vezérelhető. Három fő állapota van: Stop Ebben az állapotban nincs adatátvitel a DPM és a DP slave-ek között. Clear Ebben az állapotban a DPM beolvassa a DP slave-ek input információját, a kimeneteket pedig hibamentes állapotban tartja. Operate Ebben az állapotban a DPM adatátviteli fázisban van. Ciklikus adatkommunikációban beolvassa a DP slave-ektől az input információját, output információját pedig kiírja a DP slave-eknek. A DPM a konfiguráláskor meghatározott időnként egy multicast paranccsal ciklikusan elküldi állapotát a hozzárendelt DP slave-eknek. A DPM adatátviteli fázisában történő hibákra a rendszer az "auto-clear" konfigurációs paraméter beállításától függően különbözőképpen reagálhat. Ha értéke true, a DPM az összes hozzárendelt DP slave outputját hibamentes állapotba kapcsolja amint egy DP slave nem képes a felhasználói adatátvitelre. A DPM ezután a Clear állapotba vált. Ha a paraméter értéke false, a DPM hiba esetén is Operate állapotban marad, és a felhasználó határozza meg a rendszer teendőit. A DPM és a DP slave-ek közötti adatátvitel három fázisa: paraméterezés, konfiguráció és adatátvitel A paraméterezési és konfigurációs fázisban minden DP slave összehasonlítja saját konfigurációját a DPM-ben beállított elvárt konfigurációval. Csak ezek egyezése esetén lesz benne a DP slave az adatátviteli fázisban. Ezért az eszköztípusnak, az információ formátumának és hosszának, valamint az inputok és outputok számának meg kell egyeznie az aktuális konfiguráció beállításaival. Ezek a tesztek megbízható védelmet nyújtanak a paraméterezési hibák ellen. A felhasználói adatok automatikus átvitele mellett felhasználói kérésre új paraméterezési adatok is küldhetők a slaveeknek. 78. ábra PROFIBUS táviratok Táviratforátumok Rögzített hosszúságú, adat nélkül (L=3) SD DA SA FC FCS ED 86

87 Rögzített hosszúságú, adat nélkül (L=) SD3 DA SA FC Data FCS ED Változó hosszúságú, adattal (L=4-249) SD2 LE LEr SD2 DA SA FC Data FCS ED SD SD4 (Start Delimiter) startbyte FCS (Frame Check Sequence) DA (Destination Address) cél címe SA (Source Address) forrás címe FC (Frame Controll) kontrollbyte LE, LEr (Length) hossz ED (end Delimiter) stop-byte A DPM által automatikusan végrehajtott felhasználói adatátvitelen túl a master utasításokat is küldhet egy slave-nek, a slave-ek egy csoportjának vagy az összes slave-nek egyszerre (multicast). Ezek az utasítások a DP slave-ek eseményvezérelt szinkronizálására támogatják a sync és a freeze mód használatát. A slave-ek a mastertől kapott sync utasítás hatására lépnek a sync módba. Az összes megcímzett slave kimenete aktuális állapotába fagy. Az ezt követő felhasználói adatátvitelek során a slave-ek eltárolják az output adatokat, de output állapotuk változatlan marad. Ezek a tárolt output adatok csak a következő sync parancsot követően kerülnek átvitelre. A sync mód az unsync utasítással fejeződik be. Ehhez hasonlóan a megcímzett slave-ek a freeze utasítás hatására lépnek a freeze módba. Ekkor a bemenetek fagynak aktuális állapotukba. Az input adatok csak a master következő freeze utasítására frissülnek. A freeze mód az unfreeze utasítással fejeződik be. Védelmi mechanizmusok Védelmi és megbízhatósági szempontok szükségessé teszik a PROFIBUS-DP hatékony védelmi funkciókkal való ellátását a paraméterezési hibák és az átviteli berendezés hibái ellen. Mind a DP master, mind a slave-ek támogatják a periodikus ellenőrzést, melynek ideje a konfiguráció alatt állítható be. A DPM a Data_Control_Timer használatával ellenőrzi az adatátvitelt. Minden slavehez külön időzítő tartozik. Ha egy adott időintervallumon belül nem történik meg az adatátvitel, az időzítő lejár. A felhasználó értesítést kap erről. Ha az automatikus hibakezelés be van kapcsolva (Auto_Clear = true), a DPM kilép OPERATE állapotából, az összes hozzá tartozó slave kimenetét hibamentes állapotba kapcsolja, majd CLEAR állapotba vált. A slave watchdog használatával detektálja a master vagy az átviteli vonal hibáit. Ha a watchdog időintervallumán belül nincs adatkommunikáció a masterrel, a slave kimeneteit automatikusan hibamentes állapotba kapcsolja. Multi-master rendszerek esetén a DP slave-ek be- és kimeneteinek hozzáférésvédelmére is szükség van. Ez azt jelenti, hogy csak a jogosult master tud ezekhez közvetlenül hozzáférni. A többi master számára rendelkezésre áll a slave-ek inputjainak és outputjainak másolata (image), függetlenül hozzáférési joguktól. 87

88 Aciklikus adatátvitel A kibővített DP funkciók teszik lehetővé az aciklikus írási és olvasási műveleteket és az alarmjelzéseket a master és a slavek között, a ciklikus adatkommunikációval párhuzamosan és attól függetlenül. (Alacsonyabb prioritással.) Ez teszi lehetővé DPM2 kezelői állomás segítségével slave eszközök paramétereinek optimalizálását, vagy állapotlekérsezést a rendszer működésének megzavarása nélkül. A kirterjesztett funkciók kielégítik a komplex állomások igényeit is, amelyek gyakran igényelnek működés közbeni paraméterbeállítást. A kibővített DP funkciók igen gyakran szolgálnak a PA terepi eszközök online beállítására. Az aciklikus adatátvitel lehetősége a ciklusidőt rendszerint növeli. Eszközleíró fájlok A PROFIBUS eszközök az elérhető funkciók (az I/O jelek és a diagnosztikai üzenetek száma) és a busz paraméterek (baud rate és időzítések) tekintetében különböznek. Ezek a paraméterek eszközönként és gyártónként változnak. Dokumentációjuk megtalálható az eszköz kézikönyvében. A PROFIBUS egyszerű plug and play konfigurálásának támogatására ezek a jellemzőket elektronikus adatlap formájában is megadják, ezt gyakran device data base vagy GSD file-nak nevezik. A GSD adatok szabványosítása a nyílt kommunikációt egészen a vezérlési szintig terjeszti ki. A GSD file-okon alapuló konfigurációs eszközök használata a különböző gyártók eszközeinek egy rendszerbe való integrálását egyszerűvé és felhasználóbaráttá teszi. 79. ábra A nyílt konfigurációt támogató Device Data Base fájlok A device data base File-ok az eszköztípusok jellemzőit jól definiált formátumban írják le. A GSD file-okat a gyártók minden eszközhöz egyedileg készítik el és a felhasználók számára adatlap vagy file formájában teszik elérhetővé. A jól definiált file formátum a konfigurációs rendszer számára lehetővé teszi, hogy a buszrendszer konfigurálásakor automatikusan felhasználja ezeket az információkat. A device data base file részei: Általános specifikációk Ez a rész tartalmazza a gyártó és az eszköz nevét, a hardver és szoftver verziószámot, a támogatott baud rate-eket, a lehetséges ellenőrzési időzítéseket és a busz csatlakozójának kiosztását. 88

89 A DP master specifikációja Ez a rész a DP master eszközök paramétereit (pl. a csatlakoztatható slave-ek maximális száma) tartalmazza. Ez a rész slave eszközök esetén hiányzik. A DP slave specifikációja Ez a rész a DP slave eszközök paramétereit tartalmazza (pl. az I/O csatornák száma és típusa, a diagnosztikai tesztek leírása). A file egyes részeiben a paramétereket kulcsszavak választják el. Vannak kötelezően használandó paraméterek és opcionálisak is. A különböző opciók választására paraméter csoportok is definiálhatók. Az eszköz szimbólumait tartalmazó bitmap file is csatolható. A GSD file formátuma rugalmas. Egyaránt tartalmaz listákat (pl. lehetséges baud rate-ek) és üres helyet a moduláris eszköz moduljainak leírásához. A diagnosztikai üzenetekhez egyszerű szövegek is rendelhetők. Minden DP slave-nek és. osztályú DP masternek rendelkeznie kell egy azonosító számmal. A masterek ezzel a számmal azonosítják azokat az eszköztípusokat, melyek jelentős protokoll overhead nélkül csatlakoztathatók. A master a csatlakoztatott DP eszközök azonosító számát összehasonlítja a a konfigurációs eszköz által meghatározott számmal. A felhasználói adatok átvitele addig nem kezdődhet meg, míg a megfelelő eszköztípusok a megfelelő állomáscímekkel nem csatlakoznak a buszra. Ez nagy biztonságot jelent a konfigurációs hibák ellen. A gyártóknak minden DP slave és. osztályú DP master típus esetében a PROFIBUS User Organization-től kell azonosító számot kérnie. Ez a szervezet végzi az azonosítók adminisztrációját. A PROFIBUS-DP protokoll határozza meg, hogy a felhasználói adatok továbbítása hogyan történjen a buszra csatlakoztatott állomások között. A PROFIBUS-DP átviteli protokoll nem értékeli ki a felhasználói adatokat, az átvitel módját a profilok írják le, emellett meghatározzák a PROFIBUS-DP alkalmazási területeit is. A profilok az operátorok és végfelhasználók számára lehetővé teszik különböző gyártók eszközeinek használatát. A profilok egyúttal jelentősen csökkentik a tervezési költségeket is, hiszen pontosan meghatározzák az alkalmazási paramétereket. Jelenleg a következő PROFIBUS-DP profilok érhetők el: (zárójelben a dokumentáció száma) NC/RC profil (3.052) Ez a profil írja le, hogyan vezérelhetők PROFIBUS-DP buszon keresztül a manipulátor és szerelő robotok. A robotok mozgás- és programvezérlésének sorrendi diagramokon alapuló leírása magasszintű automatizálási szempontok szerint történik. Kódoló profil (3.062) Ez a profil a PROFIBUS-DP és a pozíció kódolók, szögkódolók ill. lineáris kódolók összekapcsolását írja le. A két eszköztípus alapvető és kiegészítő funkciókat határoz meg (pl. skálázás, interrupt feldolgozás, kibővített diagnosztika). Változtatható sebességű meghajtó profil (3.07) A vezető gyártók együttesen definiálták a PROFIDRIVE profilt. Ez meghatározza a meghajtók paraméterezését, az alapjelek és az aktuális értékek átvitelét. Lehetővé teszi a különböző gyártók meghajtóinak kicserélését. A profil tartalmazza a sebesség-szabályozáshoz és a pozícionáláshoz szükséges specifikációkat is. Meghatározza az alapvető funkciókat, lehetőséget adva az alkalmazás-specifikus kiterjesztésre ill. későbbi továbbfejlesztésekre. Leírja az alkalmazási funkciók illesztését DP-re vagy FMS-re. Vezérlési és folyamatellenőrzési profil (HMI) Az egyszerű vezérlési és folyamatellenőrzési eszközök profilja leírja ezen eszközök 89

90 és a magasszintű automatizálási komponensek PROFIBUS-DP buszrendszeren keresztüli összekapcsolását. A profil a kibővített PROFIBUS-DP funkciókat használja a kommunikációra. Források elérhetősége az Interneten PROFIBUS Workshop : Workshop.pdf PROFIBUS alkalmazási esettanulmányok: PROFIBUS Technology and Application okt.: Type 3 Profibus Michael Volz, Vcom: Vorlesung "Bussysteme" Dr. Bernd Schürmann : IEC A kezelőszemély és a számítógépes irányítórendszer kapcsolata A számítógépes irányítórendszer szoftverfejlesztése során figyelembe kell vennünk, hogy az elkészült programrendszeren keresztül a számítógép egyfelől az irányított technológiai folyamat által támasztott igényeknek, előírásoknak kell hogy megfeleljen, másfelől kapcsolatot kell tartania a kezelőszemélyzettel is. A technológiai folyamat oldaláról az információszerzés gyorsasága, pontossága, az információt feldolgozó algoritmusok folyamatdinamikához való illeszkedése, a futási sebessége (real-time feldolgozás!) és a folyamatba történő beavatkozás pontossága a legfontosabb kívánalmak. A kezelői kapcsolattartás oldaláról biztosítani kell a kezelőszemélynek a feladatainak ellátásához szükséges információkat megfelelő időben és felhasználóbarát formátumban. 90

91 80. ábra Kezelői kapcsolat Forrás: Az intelligens folyamatirányító rendszerekben igen nagy jelentősége van az ember - gép kapcsolatot szolgáló hardver - szoftver eszközöknek. A processzoros folyamatirányító rendszerek a folyamat megfigyelésénél számos szolgáltatást tesznek lehetővé (pl.: határérték-figyelés, trendek készítése, statisztika, stb.), amint ezzel a következő fejezetekben megismerkedhetünk. A számítások eredményeinek kiértékelése és ez alapján beavatkozás kezdeményezése nem mindig oldható meg gépi úton: szükség van a nagy gyakorlattal rendelkező kezelők nehezen számszerűsíthető tapasztalataira. Ugyanígy elmondhatjuk, hogy nagybonyolultságú folyamatok elindítása, vagy leállítása tisztán gépi úton sokszor igen nehezen lenne megoldható, egyszerűbb, ha a gyakorlott kezelőkre bízzák ezen feladatokat. Szükségessé válhat mérő-, vagy irányítókörök paramétereinek a módosítása. A folyamat megfigyelésével, vagy intelligensebb rendszerekben akár az irányító számítógép javaslatára, a paramétermódosítások is kezelői kezdeményezésre történhetnek. Jelen fejezet röviden ismerteti a kezelőszemély feladatait, melyek ismeretében meghatározhatók a folyamatmegjelenítéssel szemben támasztott legfontosabb követelmények. A közvetlen folyamatirányításban a kezelővel való kapcsolat szempontjából három irányítási forma különíthető el: Helyi irányítás (DDC; Direct Digital Control) a rutinfeladatok ellátására. Felügyelő irányítás (SPC; Set Point Control) a rendszer meghatározott szempontból optimális működésének irányítására. Párbeszédes irányítás a kivételes feladatokra és szituációkra (IAC; Interactiv Control) Korszerű számítógépes folyamatirányítási rendszerekben a DDC és a felügyelői irányítás szintjén a folyamatjelek érzékelésétől a folyamatba történő beavatkozásig a kezelőszemélytől függetlenül záródik az irányítás, ez az ún. closed-loop rendszer. 9

92 A párbeszédes irányítás olyan zárt ember - gép rendszer, melyben az ember zárja a kört annak valamely, a teljes rendszer szempontjából lényeges pontján. Az ember és a gép együttműködése különböző szintű lehet: egyszerűbb esetekben az operátor csak a nyers információkat kapja, és saját elgondolásai alapján kezdeményez beavatkozásokat. Összetettebb rendszereknél a gép előfeldolgozott, rendezett információt közöl és egyúttal javaslatot tesz a beavatkozás módjára (alternatívák közlésével). Fejlettebb rendszerekben a gép figyeli az operátor reakcióit, viselkedését, beavatkozását és kompenzálja a produktivitást csökkentő tendenciákat, sőt vészjelzéseket ad, ha rendellenességet tapasztal az ember viselkedésében. (Utóbbihoz meg kell tanulnia a környezet statisztikus paramétereinek változását és az operátor döntési stratégiáját is.) 92

93 KEZELŐ nyomógombok megjelenítő Illesztő Illesztő SZÁMÍTÓGÉP Párbeszédes irányítás (IAC) Felügyelő irányítás (SPC) Helyi irányítás (DDC) Beavatkozók Reteszelés Érzékelők FOLYAMAT Információ Beavatkozás 8. ábra Az ember elhelyezkedése a folyamatirányító rendszerben 93

94 Az ember - gép munkamegosztása A mérések, számítások (előfeldolgozás, határérték figyelés, stb.) eredményeit a gép jól észlelhető módon kijelzi, de ezek alapján a beavatkozások kezdeményezése nem mindig adható meg géppel, szükség van a nagy gyakorlattal rendelkező kezelők tapasztalataira is. Az irányítórendszerben többször keletkezik üzemzavar, részleges vagy teljes berendezés - vagy vonalkiesés. A gép csupán ezek tényét észleli és közli, a hibák elhárítása a kezelő beavatkozását követeli meg. A minden pillanatban aktuális és szükség esetén a szokásosnál jobban kirészletezett folyamat-kép birtokában az üzemirányítási feladatok differenciáltabban oldhatók meg. Kezelői feladatok az irányító rendszerben A bonyolult nagytechnológiák irányításánál a kezelőnek a következő alapfeladatokat kell megoldania: A működési feltételek, az irányítási cél, a rendszer kezelésének megtanulása, a kezelési feladatok begyakorlása (új üzem vagy irányítási feladat módosítása esetén). Az üzemmenet figyelése, felügyelete a megjelenített információk alapján, hibák, eltérések, veszélyhelyzetek észlelése, behatárolása. (Nagyobb kiterjedésű, bonyolult vagy veszélyes technológiák esetén az irányítási szituációk gyors felismerése és az ezt követő helyes döntés valamilyen beavatkozás kezdeményezésére a legnehezebb feladatok közé tartozik.) Beavatkozás, számítógépes-kézi vagy automatikus-kézi átkapcsolással a technológia felfuttatásánál, beállításánál vagy vészhelyzetben, a hibák, veszélyes szituációk elhárítása. Az irányítási algoritmusok karbantartása, folyamatos fejlesztése, a számítógép tanítása. Jövőbeni események előrebecslése, felkészülés a változásokra az üzemmenet jellemzőinek, hosszabb idejű trendjeinek, a vészhelyzetek statisztikáinak stb. elemzésével, különböző hibaelhárítási stratégiák kidolgozásával. A kezelői feladatok a hagyományos irányítástechnikai terminológia alapján is csoportosíthatók. A kezelő e szerint végezhet érzékelési, ítéletalkotási (döntési), beavatkozási és kisegítő műveleteket. Néhány példa: Érzékelési feladatok: A folyamat változóinak megfigyelése (akt. ért, hat. ért, túllépés stb.). Az irányító rendszer működésének áttekintése (szelephelyzetek, alapjelek, stb.). Termelési, elszámolási adatok figyelése. Vészjelek figyelése. Döntési feladatok: Vészhelyzetekben a kiváltó ok gyors azonosítása, biztonsági intézkedések kezdeményezése. Szükség esetén áttérés számítógépes üzemmódról automatikus (háttér) vagy kézi üzemmódra. 94

95 Az irányítórendszer felügyelete karbantartás alatt, átkapcsolások között. Az érzékelés ill. az irányítási szituáció felismerése után, a megkívánt állapot vagy módosítási terv ismeretében azoknak az eltéréseknek a meghatározása, melyeknek kiküszöbölésére be kell avatkozni. Beavatkozási feladatok : Kézi üzemmódban hatásos beavatkozás a folyamatba. Egyes mérő-, vagy szabályozókörök meghívása ellenőrzés, paramétermódosítás vagy beavatkozás céljából. Meghatározott műveletek, funkciók, paraméterek letiltása. Perifériális utasítások (meghatározott folyamatrészek vagy mérési és számítási műveletek). Kisegítő feladatok: Az ezt igénylő műszerek időszakos kalibrációja. Hosszúidejű trendek készítése ill. figyelembevétele. Kapcsolattartás a helyi - központi irányító személyzet között A hardver és szoftver karbantartása. Nagyobb kiterjedésű, erősen strukturált rendszerek esetén a felsorolt feladatoknak pusztán az emberi intellektusra alapozott megoldása az emberi teljesítőképesség határát súrolja, gyakran meghaladja. Még a korszerű interaktív berendezések alkalmazásánál is gyakran kerül kritikus helyzetbe a kezelő. A kezelői kapcsolattartás módjai és eszközei Az előzőekben felsorolt kezelői feladatok ellátására szolgáló rendszerek kialakítása igen nagy körültekintést igényel. Számos, az irányítási szituációtól függő szempontot kell figyelembe venni, melyek közül az egyik legfontosabb az irányítandó rendszer (folyamat) terjedelme. Kis rendszerek: max 200 kapcsolási állapottal és max 000 mért paraméterrel Az információ mennyiségénél fogva nem vetnek fel speciális problémákat az irányítás szempontjából. Közepes rendszerek: kapcsolási állapottal és mért paraméterrel Legfontosabb csoport, ezek determinálják a hierarchiában lévő alacsonyabb irányítási szintek kialakítását. Nagy rendszerek: több mint 2000 kapcsolási helyzet és több mint 000 mért paraméter Irányítása többnyire speciális eszközöket igényel, melyek a hierarchikus rendszerfelépítés folytán a legfelsőbb szintre nagymértékben szűrt és tömörített információkat juttatnak el. (Nagyüzemek, országos villamoshálózatok stb. irányítása.) A kezelői kapcsolattartás legfontosabb módjai (Központi irányítótermet feltételezve) Hagyományos vezérlőtermi kapcsolat. 95

96 A kezelő egyedi, többnyire analóg kijelzők tömegét látja maga előtt, a hang és fény vészjelzésekre kézi úton avatkozik be, a naplókat kézzel írja. Kevert vezérlőtermi kapcsolat Az off-line irányítás jellemzője. Az előbbi hagyományos irányítóteremben, vagy az mellett megjelennek a számítógép- perifériák (megjelenítők, nyomtatók). Számítógépes irányítási kapcsolat Eszköze a folyamatirányító pult (megjelenítők, kezelőszervek). (Alárendelt szerepkörben itt is megtalálhatók a hagyományos kijelzők és kezelőszervek, biztonsági háttérként.) Ha a számítógép csak mérési adatgyűjtési, -tárolási, feldolgozási, naplózási, stb. a funkciókat lát el, de közvetlenül nem avatkozik be a technológiai folyamatba, akkor ez az ún. on-line open-loop irányítás. Ha a számítógép a fentieken kívül közvetlenül be is avatkozik a technológiai folyamatba, akkor ezt on-line closed-loop irányításnak hívják. Az ember-gép kapcsolat eszközbázisa Az ember-gép kapcsolat eszközbázisa az előző három kapcsolattartási módnak felel meg. Az eszközök három fő csoportba sorolhatók: megjelenítők (információközlő eszközök), kezelőszervek (utasításkiadó szervek), segédberendezések. A korszerű rendszerekben alkalmazott megjelenítők főbb típusai: jelzőlámpák (LED - ek) számjegyes kijelző (egyedi) alfanumerikus kijelző (egyedi) grafikus kijelző (mutató vagy regisztráló műszer) nyomtató (írógép) display sématábla. A kezelőszervek főbb típusai: kapcsolóelemek billentyűzet fényceruza érintős képernyők auditív bemenet kulcs - zár potenciométer stb. A kezelői kapcsolattartás eszközei a korszerű rendszerekben gondosan megtervezett irányítópultban helyezkednek el. (Kivéve a sématáblát.) Mivel a kezelői kapcsolattartás eszközei közül a megjelenítők a legfontosabbak, ezek kialakítása függ leginkább a konkrét alkalmazástól, a továbbiakban vizsgálatainkat erre a területre összpontosítjuk. 96

97 82. ábra Technológiai folyamatábra és folyamatmegjelenítés. Forrás: A megjelenítőkkel szemben támasztott követelmények Funkcionális követelmények A kezelőszemélyzet számára mindig a szükséges mennyiségű és minőségű információt közvetítsék, hogy még szélsőséges üzemállapotokban is biztonságosan, az adott szituációnak megfelelően lehetőleg optimálisan irányíthassa a folyamatot. A megjelenítő rendszer az irányítani kívánt folyamat egészét lefedhesse, ill. nagy folyamatoknál azok elegendően nagy részét mutassa. Minden kapcsolóelem vagy elemcsoport látható vagy megjeleníthető legyen. A kapcsolási állapotok, mérési eredmények, védelmi és automatikai működések egyidejűleg megfelelő kompozícióban láthatók legyenek. Ha nem látható a teljes technológia, az a szegmens vagy objektum automatikusan jelenjen meg, ahol valamilyen esemény bekövetkezett. Az egyes technológiai részegységek jól látható módon különüljenek el. A sémán láthatók legyenek a fő anyag -, és energiaáramlási irányok. Üzemzavar esetén a kiesett vonal végén lévő objektum egyszerre jelenjen meg. 97

98 A rendszer automatikusan és kombinált módon (analóg - digitális, fény - hang) jelezze a normális üzemállapottól való eltérést. A vészjelzéseket (hibajelzéseket) hierarchikus sorrendben vagy elrendezésben jelenítse meg. A kezelő kérésére naplókat vagy naplórészleteket is készítsen. Ergonómiai és pszichológiai követelmények A számítógépes szelektív megjelenítő rendszerben a kezelőnek rá kel bíznia magát a gépre, amely összevont, szűrt, csoportosított információkat közöl, azaz végső soron megmondja mit kell látni, keresni. A megjelenítőket (és természetesen az ezeket kiegészítő kezelőszerveket) emberre orientáltan kell kialakítani, azaz a szükséges információt olyan rendezetten, sűrítve vagy redukálva kell szemléletesen megjeleníteni, hogy a kezelő informatikailag ne legyen túlterhelve, ugyanakkor döntéseiben optimális támogatást kapjon. Műszaki - kiviteli és megbízhatósági követelmények hosszúidejű működésbeli megbízhatóság (nagy MTBF), egyszerű, kényelmes kezelhetőség. A folyamatmegjelenítés hierarchiája, adatforgalma A hierarchikus információszintek bevezetésének az a célja, hogy a kezelőnek minden pillanatban olyan információt nyújtson, amilyenre az adott pillanatokban meghozandó döntésekhez szüksége van. Fontos szem előtt tartanunk, hogy a megjelenítőkre kiadott információmennyiségnek mindig kevesebbnek kell lennie az ember feldolgozó kapacitásánál. Ez azt jelenti, hogy emelkedő információszinttel az információ nem egyre több, hanem egyre specifikusabb. Az operatív irányításhoz szükséges információk megjelenítése Hierarchikus irányítási rendszerben a képeket és a megfelelő adatokat gondosan össze kell rendelni a gyors felismerés és a döntés segítése céljából. A képmező felosztása Ha csupán egyetlen grafikus megjelenítő áll rendelkezésre, annak képmezőjét a következőképpen lehet felosztani: 98

99 riasztási mező technológiai folyamatábra segédmező kezelői mező 83. ábra A képernyőkép lehetséges felosztása Címmező: Szegmens, stb. neve, dátum, napi idő. Technológiai folyamatábra: Részletesen ábrázolja a folyamatot és a kapcsolási állapotokat. Az ábra megfelelő pontjaira bekeverhetők az adott pont fizikai megfelelőjén mért paraméterek. A riasztási mezőben jelennek meg a szomszédos mezőn látható folyamatábrához tartozó riasztó jelzések, szöveges jelzések. (Nagyobb rendszereknél a riasztási és segédmező önálló megjelenítőt kaphat, a megjelenítők természetesen szinkronban működnek.) A segédmezőkben az általánosan szükséges alapvető mérési eredmények, trendek stb. jeleníthetők meg. A kezelői mező az ember - gép párbeszéd lebonyolítására szolgál. A technológiai folyamatok ábrázolása a műszaki gyakorlatban kialakult módon lehetséges, különböző színekkel jelölve a különböző anyagáramokat, technológiai egységeket. Az irányítórendszer elemeit (érzékelők, beavatkozók) rendszerint csak jelzik. 99

100 84. ábra Folyamatmegjelenítés. Forrás: ábra Grafikus kezelői felület (Siemens SIMATIC WINCC) A folyamatra és az irányításra vonatkozó információk megjelenése az irányítási folyamatábra alapján nem követhető megbízhatóan. Ezért helyette (vagy nagyobb rendszerekben további megjelenítőkön) részletesebb és célirányosabb információkat szokás megjeleníteni. Például: Áttekintő megjelenítő: egyszerű vázlat, szöveges információ segítségével képet ad az üzem szervezeti vagy technológiai tagozódásáról, az egyes üzemrészek legfontosabb technológiai adatairól. A képernyőn minden esetben megjelennek a legfontosabb vészjelzések. 00

101 86. ábra Példa áttekintő folyamatmegjelenítésre Csoport megjelenítő: lehetővé teszi, hogy a kezelő kapcsolatot tartson fenn a folyamattal. Egyszerre csak adott számú hurok (szabályozó-, vezérlő- vagy mérőkör) jelenik meg. Látható az alapérték, a szabályozott jellemző, esetleg a végrehajtó/beavatkozó jel és szembetűnően látható a szabályozási eltérés vagy a határérték túllépés. Hurok megjelenítő: egy hurokra vonatkozó minden információt kijelez, beleértve az előző időszakban mért értékekből összeállított trendgörbét is. A kezelő közvetlenül beavatkozhat az illető hurokba: paramétert módosíthat, áttérhet kézi üzemre és beállíthatja a végrehajtó jelet, kapcsolást végezhet stb. 0

102 87. ábra csoport és hurok megjelenítő Forrás: Naplózás, naplók megjelenítése Az események jelentős részét utólag rekonstruálni kell pl. zavarjelzések felderítése vagy kezelői manipulációk utólagos elemzése céljából. Esemény napló Spontán készül, a programrendszerben előírt minden esemény felkerül rá, pl.: kezelői utasítások állapot jelzések vészjelzések beavatkozók válaszai kapcsolási események stb. Az események sorszámot is kaphatnak, rögzítik az időpontot is, így utólag nincs lehetőség a napló semmiféle módosítására. 02

103 Áttekintő napló Az üzemvezetés és a kezelő kívánsága szerint összeállított adatokat, táblázatokat stb. tartalmazza. Készülhet periodikusan vagy kezelői kezdeményezésre. Archív adatok és számítási eredmények megjelenítése A korszerű irányítási rendszerekben a beérkező információk mindegyike tárolásra kerül. Ezeket meghatározott időközönként rendezik, szűrik stb. A különféle statisztikákhoz szükséges adatokat külön archívumokban tárolják. Színek és más segédinformációk alkalmazása Az információszolgáltatás fajtája és módja döntő az információfelvétel gyorsasága és biztonsága szempontjából. A kezelő befogadóképessége több vizuális ingerfajta (pl. szín, alak) és különböző érzékszervekre ható ingerek (vizuális, hang) megfelelő kombinációjával nagy mértékben megnövelhető. A színes megjelenítési technika lehetővé teszi valamennyi említett lehetőség kiaknázását. A képernyő mérete természetesen korlátozott, így a zsúfoltság elkerülése érdekében gondosan meg kell tervezni az információmegjelenítés módját. Az állandó információkat mint pl. a technológiai berendezések, melyek a képstruktúrát és az általános tájékozódást látják el, semleges színnel célszerű megjeleníteni. A normálistól eltérő üzemállapotokat, üzemzavarokat, vészhelyzeteket több optikai ingerdimenzióban lehet kiemelni (pl. élénkpiros szín, villogás, hang stb.) Fontos információk, pl. beavatkozók állapota stb. melyeket gyorsan és egyértelműen kell felismerni, jól látható színnel (zöld, narancs, kék) és szimbólumokkal jelezhetők. A szimbólumok definiálásakor alapfeltétel a megkülönböztethetőség nagy, az összetéveszthetőség csekély valószínűsége. Alkalmazható az analóg ill. digitális kijelzés együttese is. A kezelői kapcsolattartás számítógépes háttere A számítógépes háttér azon funkciói, amelyek kifejezetten a kezelői kapcsolattartást szolgálják, két fő csoportba sorolhatók: Valósidejű, zárt láncú (on-line, real-time) funkciók, melyek révén a kezelő követni tudja a folyamat menetét és közvetlenül be tud avatkozni. Két alcsoportra osztható: Azonnali valósidejű funkciók, melyek a kezelő közvetlen irányító tevékenységét segítik (pl.: adatgyűjtés, -kijelzés, trendek, naplók, stb.). Kiterjesztett valósidejű funkciók, melyek a kezelő szélesebb áttekintését segítik (pl.: állapotbecslés, gazdasági számítások, stb.). Nyílt láncú (off-line) funkciók, melyek a hosszabbtávú felügyelő irányítást segítik (pl.: rendszerelemzés, optimalizálás, adatbázis karbantartás, adminisztráció, stb). A nyílt láncú funkciók általában csak háttérgépben valósíthatók meg, mert a valósidejű funkciók lekötik az előtérgép teljes kapacitását. A fentiek ismeretében az ember - gép kapcsolatot biztosító programrendszer általában a következő részekre tagolható: felügyelői programrendszer, megjelenítő-kezelő programrendszer, pult-kezelő programrendszer, grafikus információ rögzítő programrendszer, 03

104 adatbank-kezelő programrendszer, riasztás-kezelő programrendszer, naplózó programrendszer. Végezetül lássunk egy összefoglaló táblázatot a napjainkban a nagy folyamatirányító számítógépeket gyártó cégek megjelenítő - kiszolgáló rendszereinek kínálatából: gyártó rendszer megjelenítő és kiszolgáló operációs rendszer hardver-komponensek ABB Advant OCS Workstation (HP) HP-UX AEG Geamatic P/N/E Workstation (SUN) UNIX Solaris 2-3 Babcock INTRANET saját hardver (VME-bus) saját op.rendszer M2plus Bailey INFI 90 OPEN Workstation (DEC) PC(Pentium) PC(Pentium) Open VMS QNX Windows NT Bailey F & P DCI System Six Workstation PC UNIX/IRIX (Silicon) Windows NT Fisher & Provox Workstation(DEC) X- ELN Rosemouth terminállal Fisher & Rosemouth RS3 saját hardver PC(DEC) saját op.rendszer Windows NT Foxboro- Eckhardt I/A series Workstation(SUN) PC(Pentium) UNIX Windows NT Foxboro- PLS 80 E Workstation(SUN) UNIX Eckhardt Hartmann & Digimatik PC > 386 Windows Braun Hartmann & Contronic S Workstation(HP) HP-UX Braun X-terminállal Honeywell TDC 3000 saját hardver RTN OS munkaállomással (HP) csatl. M-tec A/S Open Workstation(SUN) UNIX Solaris Workstation(DEC) UNIX DEC Siemens Simatic PCS Workstation(HP) HP-US PC (Siemens) SCO-UNIX Siemens Teleperm M PC (Siemens) FlexOS Valmet Damatic XD Workstation(HP) HP-UX Workstation(DEC) DEC UNIX PC RT OS Yokogava Centrum CS saját hardver Workstation(HP) PC > MHy UNIX HP-UX BSD-UNIX Nagyméretű folyamatirányító rendszerek megjelenítő és kiszolgáló komponensei 04

105 Az alábbi táblázat segítségével szeretnénk áttekintést adni a folyamatirányító számítógépek valósidejű operációs rendszereinek választékáról. Termé k Gyártó Célrendsz er Host rendsz er ERCO S ETAS GmbH 806x powerp C UNIX WIN95, NT LYNX- OS Lynx Inc. 680x0 80x86 Power PC MIPS SPAR C RS600 0 UNIX Nyelv ANSI-C ANSI- C C++ Pascal Ada Modula Fortran OS/9 PS OS PXRO S Microw are 680x0 80x86 PowerP C CPU32 UNIX Win ANSI-C C++ ARS Integrated System 680x0 80x86 Power PC CPU32 I960 Hitachi SH MIPS UNIX SUN WinNT OS/2 ANSI-C C++ Pascal Ada High- Tech EDV- Syste m 680x0 80x86 Power PC UNIX SUN WinNT OS/2 ANSI- C C++ QNX QNX Softwar e System s LTD I386 I486 Pentiu m QNX Watco mc C++ Inline ASM VRTX 32 Microte c Resear ch 680x0 80x86 SPAR C CPU32 AMD29 k I960 UNIX SUN WinNT ASM ANSI- C C++ VX- WORK S Windriv er 680x0 80x86 Power PC SPARC MIPS CPU32 AMD29 k I960 Hitachi SH UNIX Win95, NT ANSI-C C++ Java Ada WINDO WS CE Microsoft Pentium 80x86 i486 PowerPC MIPS HitachiS4 ARM Win CE Win95 NT Visual C++ Visual Basic Visual J++ 05

106 06

107 A számítógépes folyamatirányítás algoritmusai A felügyelői irányítás A számítógépes folyamatirányításban az algoritmusok egy-egy folyamatirányítási feladat megoldása során elvégzendő gépi és kezelői műveleteket, ezek sorrendjét, időpontját, időtartamát határozzák meg. A folyamatirányító algoritmusok bemenetei lehetnek ún. folyamatváltozók, vagy a kezelő által megadott adatok, paraméterek; kimenetei pedig vagy szintén folyamatváltozók, vagy a kezelő számára szolgáltatott adatok. Az irányítási algoritmus általában szimbolikusan, elvben a géptől függetlenül is megfogalmazható. Úgy tekinthető, mint az irányítási feladat egzakt leírása, melyhez a következők ismerete szükséges: az irányítási cél konkrétan, részletesen és egyértelműen megfogalmazva; az irányított folyamat statikus és dinamikus tulajdonságait leíró modell, ennek hiányában a folyamatváltozó halmazokhoz rendelt beavatkozási stratégiahalmazok; a technológiai, energia stb. korlátozások; a folyamat által meghatározott, vagy megkívánt veszélyelhárítási stratégia; az algoritmus realizálása (programozása) során figyelembe veendő számítástechnikai korlátozások (futási idő, memória kapacitás, válaszidő stb.); a folyamatváltozók és a beavatkozó jelek száma, változási tartománya, határértékei. Az irányítási algoritmus matematikai összefüggések, szöveges leírások, ábrák és diagramok alakjában jelenhet meg. Gyakran nem is kerül sor absztrakt elvi algoritmus leírására, hanem a szükséges összefüggéseket eleve valamely problémaorientált nyelven fogalmazzák meg. A folyamatirányító algoritmusok periodikus és nem periodikus feladatok megoldására használhatók. A nem periodikus feladatokat vagy adott időpontban, vagy a folyamatokból, ill. az irányítórendszerből érkező jel hatására, vagy valamely program ill. a kezelők kérésére kell végrehajtani. Egy-egy algoritmus többféle irányítási szinten is alkalmazható, azonban az irányítási szintek tipikus algoritmusai az irányítási szintekre jellemzőek. Jelen fejezetben a felügyelői irányítás leggyakrabban alkalmazott algoritmusait mutatjuk be, a közvetlen számítógépes irányítás (DDC) algoritmusait egy későbbi fejezet tárgyalja. Definíciók: Folyamatváltozó: a folyamat állapotát leíró, vagy működését meghatározó analóg ill. digitális változó. Típusai: mért változó: a számítógép egyetlen folyamatbemenet leolvasása alapján határozza meg; számított változó: két vagy több bemeneti érték alapján képezi a számítógép. irányított változó: értékének nagyságát a számítógép befolyásolja. A folyamatjelek előfeldolgozása A technológiai jelek mintavételezési ideje 07

108 A gyakorlati tapasztalatok alapján meghatározott mintavételezési idők: áramló mennyiség: sec nyomás: 5 sec folyadékszint: 5 sec hőmérséklet: 20 sec összetétel: 20 sec. A felsorolt adatok zajmentes jelekre vonatkoznak, így feltétel, hogy a zajokat a bemeneti folyamatperifériák jelformáló áramköreiben kialakított analóg szűrőkkel kiszűrik. Nyilvánvaló, hogy minél gyakrabban veszünk mintát a folyamatos jelből, a mintavételezett jelsorozat annál jobban jellemzi a folyamatos jelet. A mintavételezési frekvencia felső értékét azonban legtöbbször a folyamatperifériák sebessége korlátozza. A mintavételezési frekvencia értéke a folyamatperiféria és a real-time programrendszer tervezését is befolyásolja. A mintavételezési frekvencia meghatározási módszereiben alkalmazott közelítések miatt, a mintavételezési frekvencia megfelelő voltát szimulációval vagy kísérleti úton ellenőrzik, s a szükséges korrekciókat elvégzik. Átkódolás Az adatátvitel biztonsága érdekében a digitális bemeneti és kimeneti jeleket a jeladóban sokszor redundáns módon kódolják. Mivel a digitális jelek számítógépi adatábrázolása rendszerint az adatátviteli kódtól eltérő, a megfelelő átkódolási műveleteket programmal kell végrehajtani. Átkódolásra van szükség az analóg kimenetek, ill. a kezelő számára kiadott, megfelelő formátumú táblázatok, naplók előállításához is. Az átkódoláshoz soroljuk a fixpontos - lebegőpontos (ill. ford.) konverziót is. Méréskorrekció Célja a mért értékek pontosságának növelése. A korrekció történhet korrekciós egyenletek alapján, ill. táblázatban megadott adatok felhasználásával (interpolációs módszerek). Pl. : mérőműszerek referencia-feltételeinek megváltozását figyelembe vevő méréskorrekció. (Pl.: hőelem referencia-hőmérséklet változása miatti korrekció; szűkítőelemes áramlásmérők fajsúly-, hőmérséklet- és nyomáskorrekciója.) Digitális szűrés A digitális szűrés feladata a zavarjelet a hasznos jelről a jelvevőben számítási műveletekkel leválasztani. E módszerrel alacsony (0,000 - Hz) frekvenciájú zavarjelek jól csillapíthatók. Az alábbiakban a leggyakrabban használatos digitális szűrési algoritmusokat ismertetjük. A digitális szűrést igen gyakran alkalmazzák számítógépes szabályozási körökben az ellenőrzőjelre szuperponálódott zavarások leválasztására. Példaként tekintsünk egy hőmérsékletszabályozási kört. A szimulációs program (szures.exe) lehetőséget ad arra, hogy az ellenőrzőjelre periódikus (változtatható frekvenciájú) zavarjelet kapcsoljunk. A trendgörbén nyomon követhetjük többek között a mért és a szűrt jel alakulását. Az illusztrálásra kiemelt görberészletek elkészítésénél a szakasz és a szabályozó paramétereit, a mintavételezési időt nem módosítottuk, (default beállítás), ezért ezeket az értékeket külön nem ismertetjük. 08

109 88. ábra Az ellenőrzőjel digitális szűrése Átlagoló szűrő Az átlagoló szűrő minden mintavételezett időpontban az utolsó N db mintavételezett érték számtani átlagát képezi: ahol: y n : a szűrő kimeneti jele a x : a szűrő bemeneti jele a n N : a szűrőre jellemző állandó. y N n = x n i N i= 0 t n = nt időpontban, t n = nt időpontban, Az alábbi ábrán átlagoló szűrővel próbáltuk csökkenteni a zavarás hatását. Látható, hogy ha növeljük N értékét, a szűrt jel amplitúdója csökken, viszont nagyobb lesz a rendszer tehetetlensége. (Próbáljuk meg megváltoztatni az alapjelet, határozzuk meg a szabályozási időt, különböző szűrőállandók esetén!) 09

110 89. ábra Ellenőrzőjel szűrése átlagoló szűrővel Exponenciális szűrő Az ún. exponenciális szűrő rekurzív számítási képlete az alábbi: y T T n = xn + yn T + T T + T ahol y n : a szűrő kimenete x : a szűrő bemeneti jele n t n = nt időpillanatban, t n = nt időpillanatban, y n : szűrő kimeneti jel t n = ( n )T időpontban, T : a szűrő időállandója, T : mintavételi ciklusidő. A szűrőképlet az alábbi alakra hozható: ahol: y + KD n = yn n = xn yn n D az ún. gradiens, T K = T + T állandó. 0

111 90. ábra Ellenőrzőjel szűrése exponenciális szűrővel Logikai adaptív szűrő Az exponenciális szűrő gradienssel felírt alakját alkalmazzák az ún. logikai adaptív szűrő algoritmusok megvalósításánál. Az algoritmus kiugró értékű, véletlenszerű zavarok hatásának kiküszöbölésére használható. A szűrő a bemeneti jel időben lassan változó értékű átlagos zavarjelkomponenseinek értékéhez adaptálódik, másrészt a szűrő algoritmus logikai műveleteket is végez. Az átlagos zavarjelek értékének becslése N db. - a pillanatnyi és az azt megelőző gradiens értékek átlagértéke: D = és szórása: n ( N ) = i= n N D i n ( N ) ( D D ) i i i n σ n = alapján történik. N 2 2 A logikai adaptív szűrő egyik megvalósítása a következőképpen működik: ha a bemeneti jelen kiugróan nagy értékű zavarjel nem jelentkezik : ( D ) < n D n 3σ n, akkor a változatlan exponenciális szűrő egyenletet használják; ha a bemeneti jelen nagy értékű, pillanatnyi zavarjel jelenik meg : ( Dn D n ) 3σ n, akkor a szűrő kimenetét befagyasztják : ; ha adott számú mintavételi periódusidő után a

112 ( D D ) 3σ n n n feltétel még mindig fennáll, (ami azt jelenti, hogy a bemeneti jel értéke pl. kezelői beavatkozás miatt megváltozott,) a szűrő kimeneti jelének értékét a bemeneti jel értékével egyenlővé teszik: y n = xn, és a szűrő algoritmus, e megváltozott bemeneti jel környezetében dolgozik tovább. A logikai adaptív szűrő egy másik változata az előzőtől annyiban tér el, hogy ha a [( D ) ] 3 n D n σ n feltétel tartósan fennáll, a szűrő kimeneti jelének új munkaponti értékét a szűrő egyenletének megfelelő yn = yn + KDn összefüggés alapján, exponenciális változással állítják be. A logikai adaptív szűrő algoritmus a technológia hosszú öregedéséből származó, egyre nagyobb értékű, átlagos zavarjelekhez, ill. a kezelői beavatkozásokból előálló munkapontváltozásokhoz adaptálódik. A számításokhoz szükséges N értékét tapasztalati úton állítják be. Átszámítás fizikai értékre Az A/D átalakító által szolgáltatott digitális adatot átalakítja fizikai mértékegységben kifejezett értékké annak érdekében, hogy a folyamatváltozó kijelzésekor, kinyomtatásakor a kezelők a folyamat jellemzőit közvetlenül leolvashassák. Lineáris jelleggörbéjű jeladó esetén: (lineáris transzformáció) m m0 p p0 = m m p p v m = m ahol 0 v p p 0 ( m ) v m0 pv p0 m : fizikai mértékegységben kifejezett érték, m : fizikai mértékegység kezdőértéke, 0 m v : fizikai mértékegység végértéke, p : az A/D átalakító utáni digitális számérték, p 0 :a kezdőértéknek megfelelő A/D átalakítás utáni számérték, p :a végértéknek megfelelő A/D átalakítás utáni számérték. v Nemlineáris jelleggörbéjű jeladó esetén a fizikai értékre való átszámítást a jeladó karakterisztikájának egyenesekkel való szakaszos közelítésével vagy polinommal való közelítésével végzik. Számított folyamatváltozók képzése Idő szerinti elsőrendű derivált képzés Az idő szerinti elsőrendű deriváltat meghatározhatjuk az egyszerű dxt () xn xn = dt T tn képlet szerint, vagy a zavarjelekre kevésbé érzékeny ún. négypontos derivált képzés szerint: 2

113 () t xn xn 3 xn xn 2 + 3T T xn + 3xn 3xn 2 xn =. 2 T dx 3 dt t n 6 Idő szerinti integrált érték Az idő szerinti integrált értéket általában összegzéssel közelítik: Téglány integrálás: tn x () t n d t x T = I x T. 0 i= i n + Trapéz integrálás: tn n x () + i + x i xn xn x t d t T = I n + T i= Simpson-formula: tn xn x t d t I + 0 () n x 3 n n + x n T Egyéb számítások különbség képzés áramló mennyiség számítása hőmennyiség számítás energia vagy anyagmérleg számítás hatásfok logikai függvény számítás stb. Ellenőrzések Határértékvizsgálatok Normál üzemmenet esetén a folyamatváltozóknak megadott értéktartományban kell maradniuk. E feltétel ellenőrizhető a határértékvizsgálatokkal. A folyamatváltozókhoz rendelhető határértéktípusok Statikus határérték: segítségével a folyamatváltozó értékének nagysága vizsgálható, a pillanatnyi mintavételezett érték alapján. Dinamikus határérték: segítségével a folyamatváltozó megváltozásának mértéke vizsgálható. Általában két egymást követő mintavételi érték alapján számított trend érték meghatározásával történik. (Trend határértéknek is nevezik.) Rögzített határérték: időben állandó határérték.ide sorolható: normál üzemi határérték 3

114 vészjelzési határérték hihetőségi határérték. Változó határérték: időben, vagy a folyamatváltozók értékének függvényében változó határérték. Ide sorolható: szabályozási eltérés határérték hitelesítési eltérés határérték. 9. ábra Határértéktípusok értelmezése Normál üzemi határértékek: (alsó és felső). A folyamatváltozó értékének megengedett tartományait jelöli ki. E határértékek túllépésekor a számítógép a kezelő számára hibajelzést ad. Vészjelzési határértékek: (alsó és felső). Az üzembiztonsági tartományt határozza meg. Túllépésekor a számítógép a kezelő számára vészjelzést ad és vagy automatikus, vagy kezelői beavatkozás (pl. vészbeállítás) történik. Hihetőségi határértékek (alsó, felső). Az érzékelők, mérőkörök, real-time perifériák, számítási algoritmusok helyes működése esetén kapható minimális és maximális folyamatváltozó értéket adják meg. E határértékek túllépése a felsorolt egységek meghibásodását jelzi, ezért ekkor a folyamatváltozó további feldolgozását le kell tiltani. Szabályozási eltérés határ, valamely szabályozott folyamatváltozó előírt értéke körül szimmetrikus tartományt jelöl ki. segítségével a szabályozási eltérés megengedett értékének túllépése ellenőrizhető, a számítógép a kezelő számára hibajelzést ad. Hitelesítési eltérési határ: az analóg bemeneti periféria helyes működése ellenőrizhető. A bemenetre adott hitelesítő jel esetén a mért értéknek a névleges hitelesítési értékhez viszonyított szimmetrikus tartományon belül kell lennie. 4

115 A felsorolt határértékek túllépéskor a számítógép fényjelzést, hangjelzést, nyomtatott üzenetet vagy ezek kombinációját adja ki. A határérték-túllépés fény- és hangjelzésének mindaddig fenn kell állnia, amíg a túllépés tart, ill. amíg a kezelő a túllépés tényét nem nyugtázta. Nyomtatott üzenetet csak a határérték túllépésekor ill. a visszalépéskor egyszer kell kiadni. Másrészt meg kell akadályozni, hogy a folyamatváltozók kis változásai, lengései a határértékek környezetében sorozatos határérték túllépés, ill. visszalépés jelzést adjanak. Ennek érdekében a határértékvizsgáló programokban a határérték túllépésekor a megadott felső határértéket az ún. jelzési hiszterézis értékkel lecsökkentik, ill. az alsó határértéket megnövelik. A számítógép a folyamatváltozó visszalépését akkor jelzi, ha a folyamatváltozó értéke a módosított határértékek közé esik. A folyamatváltozó értékének visszalépésekor a program a módosított határértékeket az eredeti határértékekre visszaállítja. A jelzési hiszterézis értéket a folyamatváltozó lengéseinek és a zajviszonyok ismeretében kell meghatározni. A határérték túllépésekor a számítógép a folyamatváltozó értékét befagyasztja, ami azt jelenti, hogy a változó további feldolgozása, ill. operandusként való felhasználása esetén a folyamatváltozó tényleges értéke helyett egy helyettesítési értéket vesz figyelembe. 92. ábra Határétrékmódosítások túllépés esetén Digitális bemeneti jelek változásfigyelése A digitális bemeneti jelek értékét a számítógép szavaiban bitcsoportonként gyűjtik össze úgy, hogy egy-egy bemenetet a szó egyes bitjeihez rendelnek. Ha egy bemenet az egymást követő mintavételezések során megváltozik, a változást figyelő algoritmus a megváltozott jelet azonosítja, és a számítógép a változás irányától függő üzenetet küld a kezelő számára, ill. beavatkozást kezdeményez. Pl.: 0 jelváltozás észlelésére alkalmas S40 programrészlet: LD MW régi adatszó 5

116 XOR IW új adatszó kizáró vagy AND IW új adatszó és ST QW jelváltozás kijelzése a kimeneten LD IW új adatszó tárolása, a ST MW következő ciklusban ez lesz a régi adatszó. Pl.: 0 jelváltozás észlelésére alkalmas S40 programrészlet: LD MW régi adatszó XOR IW új adatszó kizáró vagy AND MW régi adatszó és ST QW jelváltozás kijelzése a kimeneten LD IW új adatszó tárolása, a ST MW következő ciklusban ez lesz a régi adatszó. Adattárolás A folyamatváltozók értékének tárolása mind pillanatnyi, mind a későbbi feldolgozásokhoz szükséges. Pl.: az üzemmenet gazdasági értékeléséhez szükséges rövid idejű átlagok, műszaki adatok, napi, heti, havi adatok rendszeres tárolása, események időpontjának tárolása, események sorrendjének tárolása, valamely üzemzavar kiértékeléséhez az azt megelőző időszak adatainak tárolása üzemindítás, leállítás adatainak és eseményeinek tárolása. Felügyelői beavatkozás E beavatkozások szükségesek a normál üzemvitelben (pl. analóg folyamatváltozók munkaponti értékének megváltoztatása) ill. a folyamat zavar- és vészállapota esetén (pl. analóg folyamatváltozók munkaponti értékének változtatása, szelepek nyitása vagy zárása, motorok leállítása stb.) Az analóg folyamatváltozókkal kapcsolatos felügyelői beavatkozások Az analóg folyamatváltozókkal kapcsolatos felügyelői beavatkozások jellemzői: a számítógép vagy közvetlenül a beavatkozó szervet működteti, vagy alapjelállító irányítás esetén az analóg szabályozó alapjelét állítja; a folyamatot egyensúlyi állapotban tartja, vagy egyik állandósult állapotból egy másik állandósult állapotba vezeti át, s ezen állapotok közötti tranzienseket (feltéve, hogy határérték túllépés nem történik) nem veszi figyelembe; 6

117 a beavatkozások periodikusak, vagy időszakosak, (gyakoriságuk min - 00 min közötti érték). A beavatkozások időpontjait úgy kell megválasztani, hogy közöttük az állandósult állapot beálljon. ( A túl gyakori beavatkozások lengéseket okozhatnak.) A beavatkozást végző programnak figyelembe kell vennie, hogy mekkora a módosított jellemző időegységre eső megengedett maximális változása. Ha a szükséges változtatás értéke ennél nagyobb, a beavatkozást időben elosztva, pl. vagy több diszkrét ugrással, vagy lineáris függvény szerint lehet végrehajtani. Alapjelállító irányítás esetén a számítógép a megkívánt alapjel értékét vagy egyensúlyi egyenletek alapján, vagy valamilyen statikus optimalizálási algoritmus alapján számítja. Az időegységre eső megengedett maximális alapjelváltozást az alapjelállító programnak figyelembe kell vennie. Vezérlés jellegű felügyelői beavatkozás (on - off controll) E beavatkozásokat, mivel hatásuk a folyamat üzemmenetét legtöbbször jelentősen befolyásolják, fokozott kezelői és számítógépi ellenőrzéssel kell végrehajtani. Emiatt e vezérlési műveleteket két fázisban: a vezérlés kezdeményezés és a vezérlés végrehajtás fázisban hajtják végre. Vezérlés kezdeményezés: A vezérelni kívánt berendezés helyes kijelölését, üzemkész állapotát vizsgálja. Vezérlés végrehajtás: A vezérlés végrehajtás parancsot a számítógép csak akkor fogadja el, ha a vezérléskezdeményezés során elvégzett vizsgálatok eredménye megfelelő. Kimenőjel feldolgozó algoritmusok Végrehajtójel ellenőrzése a beavatkozóról való negatív visszacsatolással. Végrehajtójel határérték és változási sebesség ellenőrzés: A bemeneti jelekhez hasonlóan. Határérték túllépés esetén korlátozás lép életbe. Szelepkarakterisztika kompenzáció: a méréssel felvett vagy elméleti karakterisztika alapján. 7

118 Állásos szabályozások A műszaki gyakorlatban, igénytelenebb szabályozási feladatok megvalósításánál igen gyakran alkalmazzák az ún. állásos szabályozókat. Az állásos szabályozók végrehajtójele csak véges számú, diszkrét értékeket vehet fel. Ha a szabályozó végrehajtójele csak két különböző állapotot vesz fel (/0 vagy igaz/hamis jelállapot, amely megfelelhet valamely áramkör megszakításának illetve zárásának, be- ill. kikapcsolásnak - pl.: egy relé segítségével -), akkor kétállású (vagy kétpontos) szabályozóról beszélünk. Ha ugyanazon rendelkezőjel értéknél kapcsol be ill. ki a szabályozó, akkor hiszterézis nélküli a szabályozó statikus karakterisztikája, ha másmás rendelkezőjel értéknél kapcsol be ill. ki a szabályozó, akkor hiszterézises a statikus karakterisztika. X v X v X r -h +h X r.ábra A hiszterézis nélküli és a hiszterézises kétállású szabályozó statikus karakterisztikája A háromállásos (vagy hárompontos) szabályozó végrehajtójele három diszkrét értéket vehet fel (pl.:-/0/, amely pl. hőmérsékletszabályozásban megfelelhet a hűtés/kikapcsolás/fűtés kapcsolásoknak), statikus karakterisztikája szintén hiszterézis nélküli vagy hiszterézises lehet. X v X v X r X r 2. ábra A hiszterézis nélküli és a hiszterézises háromállású szabályozó statikus karakterisztikája Amennyiben digitális szabályozóalgoritmussal szeretnénk állásos szabályozót megvalósítani, a végrehajtójel a statikus karakterisztika alapján egyszerűen kódolható: 8

119 Például a kétállású hiszterézises szabályozóalgoritmus, ha a végrehajtójelet %-osan fejezzük ki: 00%, ha x rk >= h; x vk = 0%, ha x rk =< -h; x vk = x v(k-), egyébként. Ahol: X vk : a végrehajtójel a kt idópillanatban, X rk a rendelkezőjel a kt időpillanatban. A továbbiakban a kétállású, hiszterézises szabályozó viselkedését tanulmányozzuk részletesebben. Arányos, időkésleltetéses, holtidős szakaszok szabályozása kétállású hiszterézises szabályozóval A témakörhöz kapcsolódó gyakorlóprogram az alábbi kezelői felülettel jelentkezik be: 3. ábra Hőmérsékletszabályozás kétállású szabályozóval A feladat zárt átfolyásos tartályban a vízhőmérséklet szabályozása villamos fűtőbetét áramának ki/be kapcsolásával. A szabályozó tehát kétállású, hiszterézises szabályozó. Az irányított berendezés arányos, elsőrendű-időkésleltetéses, holtidős jelátvitellel jellemezhető. A futtatás előtt beállítandó paraméterek: a szakasz erősítése (A); időállandója (T ); holtideje (T H ); a szabályozó hiszterézise (h); a mintavételezési ciklusidő (ez egyébként a futás ciklusideje is); 9

120 az előírt vízhőmérséklet (alapjel: Xa). Az állásos szabályozásokat egyszerűbb esetekben használjuk, és ilyenkor általában igaz, hogy a rendszernek van egy domináns tárolóképessége, amelynek időállandója mellett a többi tároló időállandója lényegesen kisebb, így azok hatása jogosan vonható össze egy valódi holtidőt is tartalmazó T H közös holtidővel jellemezhető tagban. A szabályozási kör hatásvázlata: X z + + X m X b0 szakasz Y ( s) s X sz X v szabályozó ( ) Nu X r - X e + X a 4. ábra Hatásvázlat A nemlineáris szabályozó elemet elkülönítve, ( ) Nu leíró függvénnyel jellemezzük, míg a többi lineáris tagot összevontan kezeljük és az () Y s s T s H = A e + Ts átviteli függvénnyel közelítjük, ahol: A a jelátviteli tag erősítése, T a domináns tárolóképesség, T H az összes többi időállandót és holtidőt tartalmazó egyenértékű időálladó. x v x b0 : beavatkozójel -h +h 5. ábra A szabályozó statikus karakterisztikája: x b0 x r x b0 = állandó, h: hiszterézis érték. A szabályozó a bekapcsolási állapotban xb0 = áll. jelet ad az Y ( s) s átviteli függvényű tag bemenetére, amelyen a szabályozott jellemző holtidő után az T H ( ) = b ( tt 0 ) x t Ax e sz 20

121 függvény szerint változik, az egytárolós arányos jellegének megfelelően. A függvény t estén az értékhez tart (bekapcsolási tranziens). Ax b0 6. ábra A bekapcsolási tranziens Ha a szabályozó kikapcsol, x b = 0 jelet ad Ys ( ) bemenetére, a szabályozott jellemző a kikapcsolási tranziens szerint változik és zérushoz tart. 7. ábra Kikapcsolási tranziens 2

122 Indítsuk a rendszer tranziensének vizsgálatát az x a = 0 alapjelnek megfelelő állandósult állapotból. Ehhez x sz = 0 érték tartozik. A szabályozó kimenetén x b = 0 jelnek kell lennie, mivel a rendszer állapota nem kíván beavatkozást. Állítsuk ezután az alapjelet egy, a h hiszterézisnél lényegesen nagyobb, új x a értékre. A rendelkezőjel : x b0 xr = xa xe > + h. A szabályozó kimenetén jel keletkezik, amelynek a hatására a szabályozott jellemző T H holtidő után a bekapcsolási tranziens szerint változik. A szabályozott jellemző (a hőmérséklet) és így az ellenőrzőjel mindaddig növekszik, amíg h értékkel meg nem haladja az alapjel értékét. x sz növekedésével x r csökken, majd negatívra változik, míg elérve - h értéket, a szabályozó kikapcsol és kimenete x b = 0 értékű lesz. A kikapcsolás hatása azonban csak T H holtidő után jelentkezik, ezen idő alatt a szabályozott jellemző még a bekapcsolási tranziens által előírt módon változik, ami x Sk kikapcsolási túllendülést okoz. A holtidő elteltével a szabályozott jellemző a kikapcsolási tranziens szerint csökken mindaddig, míg h értékkel kisebb nem lesz az alapjelnél. Ekkor a rendelkezőjel ismét x r =+ h stb. Hasonlóan a kikapcsoláshoz, a x sb a bekapcsolási túllendülés értéke. (Példánkban legyen az előírt hőmérsékleti érték x a = 40 C, a hiszterézis értéke h=2 C, =) x b0 8. ábra Az állásos szabályozás idődiagramja Az újabb bekapcsolási tranziens során a rendszer már a kvázistacionárius állandósult lengések állapotába kerül, az előzőekben leírt folyamat periodikusan ismétlődik. A lengések ciklusideje: Tc = Tb + Tk. 22

123 A T bekapcsolási idő két részből tevődik össze: b A T k kikapcsolási idő: így Tb = TH + tb. Tk = TH + tk, T = 2 T + t + t. c H b k Az állandósult lengések során a szabályozott jellemző maximális értéke: illetve minimális értéke: x = x + h+, smax a x sk x = x h x. smin A szabályozott jellemző ingadozási sávja pedig: s s max a x = x x = 2h + x + x s min sb sk sb (Tehát ha T H = 0 az ingadozási sáv =2h!) A rendszer jellemzői alapján a ciklusidő és az ingadozási sáv meghatározható. A bekapcsolás utáni túllendülés a kikapcsolási tranziens szerint alakul: TH T TH T ( ) ( ) ( )( ) x = x h x h e = x h e. sb a a A kikapcsolás utáni túllendülés a bekapcsolási tranziens egyenlete szerint: TH T Sk = [ b ( a + )]( 0 ). TH T TH T ( )( ) ( a )( ) T H T = h + ( Ax 2 h )( e ) x Ax x h e x = 2h+ Ax x h e + x h e s b a x. s 2 b 0 a Az ingadozási sáv tehát független az alapjel nagyságától és T H = 0 esetén = 2h. Ha T H akkor xs Axb0 = xsmax. Vagyis az ingadozási sáv a szabályozott jellemző teljes tartományát felöleli. A Tb = TH + tb bekapcsolási idő a bekapcsolási tranziens alapján is meghatározható, amint azt az alábbi ábra mutatja: 23

124 9. ábra A T b és T k meghatározása A T b bekapcsolási idő alatt a szabályozott jellemző változása: xs. Tb T x = Ax x h x e az egyenletet átrendezve, T b -t kifejezhetjük: s [ ( )]( ) b0 a sb T b = T ln xs Ax x + h + x. b0 a sb Hasonlóan a T k kikapcsolási idő a kikapcsolási tranziens alapján: x s Tb T ( x + h + ) ( x + h + ) e = x T k a s sk Tb T ( x + h + )( e ) = = T a ln x sk a sk xs + h + sk. a Ha az alapjel elegendően messze van a zérus és az értéktől, a szabályozó hiszterézise elegendően kicsi és a T H nem túl nagy a T időhöz képest, akkor h, xsb és elhanyagolható: x sk Ax b0 T b T ln xs Ax x b0 a, és T k T ln xs x a. A fenti feltételekkel igaz, hogy: 24

125 xs Ax x b a <<, és x x a s <<, ezért használhatjuk az ln( + x) xközelítést: T b T xs Ax x b a. és: T T x k x TH T Ahol: x = 2h+ ( Ax 2h)( e ) s b0 a. s. Ezek után a ciklusidő: Tc = T b + T k is kiszámítható. A közelítések eredményeként mind a szabályzó jellemző amplitúdóit, mind a lengések idejét egyszerű lineáris összefüggésekkel számíthatjuk ki. Ez a közelítő számítási mód a gyakorlatban általában megfelelő eredményt ad. Vizsgáljuk meg a szabályozó x Állandósult állapotban: x a b ( t) x kimenőjelének időbeli átlagértékét. b Tx b b0 = T + T b k xa =, A a nemlineáris elem tehát az alapjellel arányos középértékű beavatkozójelet ad. Ha az alapjelet ugrásszerűen megváltoztatjuk, x' > x, így a szabályozó bekapcsol. a a 25

126 A 930. ábra Az alapjelváltoztatás hatása ' sk túllendülés csökken, mivel a tranziens kevésbé meredek részén vagyunk: x' < x. sk A kikapcsolás viszont a kikapcsolási tranziens meredekebb szakaszán történik: sk x' > x. sb A szabályozott jellemző teljes ingadozási sávja változatlan marad, megváltozik azonban a bekapcsolási és kikapcsolási idők aránya: T' T' b k sb Tb >. T Megfigyelendő x b változása! (A nagyobb alapjel a nemlineáris szabályozó kimenőjelének nagyobb időbeli átlagértékét írja elő.) x a A rendszer PD tulajdonságokat mutat. növelésekor az x b túlvezérlésével gyorsító tulajdonságú, majd az állandósult állapot elérésekor ez a hatás megszűnik. Az állásos szabályozásoknál nem minden esetben használható a fenti matematikai modell. Előfordulhat, hogy a rendszer valódi holtideje nem azonos a be- és kikapcsolási folyamatban, ilyenkor a kvázistacionárius állandósult állapot jellemzőinek számításánál a képletekbe a megfelelő folyamatrészhez tartozó holtidőt kell behelyettesíteni. Gyakori eset, hogy a rendszer domináns tárolójához tartozó T időállandóhoz viszonyítva a többi időállandó és a rendszer holtideje is elhanyagolható. Ilyenkor a számítási összefüggések rendkívül leegyszerűsödnek. k 26

127 Közvetlen digitális szabályozás (DDC) Közvetlen digitális szabályozásnak (Direct Digital Control) nevezzük a szabályozó irányításnak azt a formáját, amelyben a szabályozási algoritmusnak megfelelő számításokat és a beavatkozó szervek működtetését a számítógép végzi. A számítógép tehát átveszi a szabályozó szerepét, azaz a mintavételezési időközönként lekérdezi a szabályozott jellemző tényleges értékét, összehasonlítja az aktuális alapjel (előírt) értékkel és a beprogramozott számítási algoritmus alapján meghatározza az aktuális végrehajtójelet, amely majd a végrehajtó-beavatkozó szervet működteti. (A legtöbb esetben a végrehajtójel a következő lekérdezésig állandó értéken marad.) Ha összehasonlítjuk a számítógépek (processzorok) nagy sebességét és a szabályozott szakaszok időállandóit, láthatjuk, hogy legtöbb esetben lehetőség van arra, hogy a számítógép egy mintavételezési ciklusban több szabályozási kört is kiszolgáljon. A számítógépes szabályozási algoritmus lehet az analóg szabályozókéhoz hasonló, - annak diszkrét megfelelője -, de lehet attól eltérő, az adott irányítási feladathoz jobban illeszkedő, egyedi program is. 94. ábra A DDC irányítás blokkvázlata A digitális szabályozás blokkvázlata az ábrán látható. A valóságban a szabályozó számítógép tartalmazza a bemeneti méréspontváltót, az A/D konvertert a bemeneti regisztert, a különbségképzőt és a DDC-algoritmust, a kimeneti regisztert, a D/A konvertert, a kimeneti méréspontváltót és a két mintavételezés közt a kimenőjelet tartó áramkört. Az ábra szerint a szabályozó számítógép bemenetén analóg, (értékkészletében és időben is folytonos) jelek találhatók, a kimenete pedig időben folytonos, értékkészletében diszkrét (leggyakrabban lépcsős függvénnyel jellemezhető) jel. A szabályozás matematikai modellezésére alkalmas hatásvázlathoz az alábbi egyszerűsítésekkel jutunk el: egyetlen szabályozási kört veszünk figyelembe; az A/D, D/A átalakítók felbontóképességéből származó hiba elhanyagolható; 27

128 az érzékelők,az A/D, D/A átalakítók és a méréspontváltók átviteli tényezője külön - külön ; a jelfeldolgozás során megelégszünk azzal, hogy a jeleket csak a mintavételezési időpillanatokban ismerjük, a két mintavételezés között a szabályozónak nincs információja a szabályozott jellemző alakulásáról. 95. ábra A közvetlen digitális szabályozás hatásvázlata Az ábrán folytonos vonallal jelöltük az időben folyamatos jeleket, szaggatott vonallal pedig az időben diszkrét jeleket. A világoskék színnel kiemelt részek a valóságban általában az irányító számítógép részei. Az ábra többi jelölése megfelel a jegyzet korábbi jelöléseinek. A fejezet további részében feltételezzük, hogy a digitális szabályozási kör az ábra szerinti hatásvázlattal jellemezhető. A számítógépes szabályozási algoritmus aszerint, hogy a számítógép milyen típusú beavatkozó szervet működtet, ún. helyzet-algoritmus, vagy sebesség-algoritmus lehet. A helyzet-, vagy pozíció-algoritmus kimenete a beavatkozó szerv mindenkori állapotának (helyzetének megfelelő érték. (Az elnevezés a leggyakoribb beavatkozószerv, a szelep helyzetére utal, de tetszőleges beavatkozó szervre értelemszerűen általánosítható.) A sebesség-, vagy inkremens-algoritmus kimenete a beavatkozó szerv mindenkori állapotának kívánt, előjelhelyes megváltozását adja meg. Sebesség-algoritmus esetén a növekmények összegzését (integrálását) a beavatkozószerv végzi. A számítógépben a beavatkozószerv mindenkori állapotáról nem áll rendelkezésre információ, ezért a beavatkozószervek állapotát gyakran külön távadókkal mérik és a számítógép bemenetére vezetik. A sebesség - algoritmus a beavatkozó szervet végállapotai közelében felütköztetheti. Helyzet-algoritmus alkalmazásakor a beavatkozó jel kiadása előtt programmal ellenőrizhetjük, hogy a jel nem haladja-e meg a beavatkozó szerv működési tartományát, és így a felütközés elkerülhető. A helyzet-algoritmusnak viszont hátránya, hogy a számítógép meghibásodása esetén, ha a beavatkozó jel megszűnik, a beavatkozó szerv végállapotba kerül, míg a sebesség - algoritmussal működtetett inkremens beavatkozó szerv megtartja az utoljára beállított állapotát. 28

129 Digitális PID - algoritmus A számítógépes szabályozási körökben - a folyamatos szabályozási körökhöz hasonlóan - elterjedten használják a PID-algoritmusokat. Kérdés: milyen digitális algoritmus feleltethető meg a folyamatos működésű PID-szabályozónak? A folyamatos működésű, ideális PID-szabályozó viselkedését leíró differenciálegyenlet a következő: t x ( t) A x ( t) x ( t) v = R r + r t + T T d I 0 D dx ( t) r dt ahol A R : a szabályozó erősítése, T I : a szabályozó integrálási ideje, T D : a szabályozó differenciálási ideje, x v (t): a végrehajtójel időfüggvénye, x r (t) : a rendelkezőjel időfüggvénye. A fenti differenciálegyenletet írjuk át differenciaegyenletté! A szabályozó által szolgáltatott kimeneti számsorozat egy eleme a t = nt időpontban: n x A x x T T x x rn vn = R rn + ri + D T T I i= n r( n ) ahol x vn : a végrehajtójel értéke a tn = nt időpontban, x rn : a rendelkezőjel értéke a tn = nt időpontban, x r(n-) : a rendelkezőjel értéke a t = ( n ) T időpontban. n A fenti egyenletben és a továbbiakban is az x k =x(kt) rövidítést alkalmazzuk. A kapott kifejezés azonban ilyen formában, rekurzív számításra nem megfelelő. A rekurzív összefüggés meghatározása érdekében írjuk fel a kimeneti számsorozat tn = ( n ) T időpontbeli értékét is: = + n xr( n ) xr( n 2) x + v( n ) AR xr( n ) xrit TD. TI i= T Vonjuk ki egymásból a két egyenletet és fejezzük ki az értékét: x vn T TD ( ) rn ( rn r n r n ) x x A x x T x T x x x vn = v( n ) + R rn r ( n ) ( ) + ( 2 ). I Ha a beavatkozó szerv nem inkremens bemenetű, a számítógép PID-helyzet - algoritmussal dolgozik, vagyis kimenetén a mintavételezési időpontokban az x vn értéket adja ki. A PID helyzet - algoritmus képlete: T TD ( ) rn ( rn r n r n ) x x A x x T x T x x x vn = v( n ) + R rn r ( n ) ( ) + ( 2 ). I 29

130 Inkremens bemenetű beavatkozó szerv esetén a számítógép PID- sebesség - algoritmussal dolgozik, vagyis a mintavételezési időpontban a kimenetén a T TD ( ) rn ( r 2 r n r n 2 ) x x x A x x T x T x x x vn = vn v n = R rn r n ( ) ( ) ( ) ( ) I érték jelenik meg. Sebesség - algoritmus esetén tehát a x vn értékek összegzését a beavatkozó szerv végzi. Az digitális PID-szabályozóalgoritmus I-tagját az ún. alsó téglány módszerével is kifejezhetjük: n xrn xr( n ) x = A x + x T + T vn R rn ri D. TI i= 0 T Ekkor a sebesség algoritmus : T TD x = = ( ) + + ( + ) vn xvn xv( n ) AR xrn xr ( n ) xr( n ) xr 2xr ( n ) xr ( n 2) TI T A helyzetalgoritmus képlete pedig az alábbi szerint alakul: x vn T TD ( x x ) + x + ( x x + x ) = x + v( n ) AR rn r( n ) r( n ) rn 2 r( n ) r( n TI T 2) Az ábrán a PIDdemo.exe demonstrációs program képernyőképének részlete látható. A demonstrációs program segítségével tanulmányozhatjuk a PID-algoritmus végrehajtójelét, különböző nagyságú, ugrásszerű rendelkezőjel-változás hatására. A szabályozó paraméterei (A R, T I, T D ) és a mintavételezési idő módosítható. Esetünkben A R =, T I =s, T D =0,5 s T=0,2s. 96. ábra Digitális PID-algoritmus végrehajtójele (Xv) és végrehajtójel változása (dxv) ugrásszerű, 0%-os rendelkezőjel (Xr) változás hatására (felnyitott kör) 30

131 A szabályozás matematikai szimulációja A vezetési tulajdonság meghatározása Legyen feladatunk a digitális szabályozás vezetési tulajdonságainak meghatározása matematikai számításokkal, miközben a jelek a szabályozási körben visszacsatolódnak. A matematikai szimuláció azért lehetséges, mert a szabályozás adott ütem szerint fut (mintavételezési periódusidő), és ütemenként ciklikusan kiszámíthatjuk a számítógépen és a szabályozandó szakaszon áthaladó jelváltozást. A számítási módszert egy példán keresztül mutatjuk be. Meghatározzuk a szabályozón áthaladó jelértéket és a szabályozott szakaszon áthaladó jelértéket nullad-rendű tartót feltételezve. Például: Legyen a szakasz átviteli függvénye: Y ( s) = s As Ts+ A T s = 08, = 2sec. T = 02, sec Szabályozzuk PI-szabályozóval: A R = 9 T = 05, sec. I A szabályozási kör vezetési tulajdonságát az alábbi vezetőjelre határozzuk meg: X ( ) a t = 0, ha t 0, X ( t) = Ccos t 0. a Megoldás: C = Volt. 3t A mintavételezési időpillanatokban t = kt ( k =... 2, 02,,,,...) x = 0 ha k 0 ak x = Ccos = Ccos, k 0 ak 3kT 0 6k A szabályozási kör a t = 0 időpillanatban egyensúlyban van (nyugalomban van), ezért:... x ( ) ( ) ( ) r 3T = xr 2T = xr T = 0... x ( 3T) = x ( 2T) = x ( T) = 0. v v v A PI-szabályozási algoritmus, az integráló rész legyen most alsó téglány szerint kifejezve: 3

132 T x x A x x T x vk = v k + k rk r k + ( ) ( ) r( k ) i x = x + 9x 54, x. vk v( k ) rk r( k ) A szabályozott szakasz és a nullad-rendű tartó sorbakapcsolásával kapott Y ( z) átvitelei függvény táblázatból: S ( ) Y z = A S s TT / 02, ( ) ( ) T/ T ( e ) TT / e TT / = A s z e e T/ T A e = 0, 8 e = 0, 076 s e T/ T = 0, 905 z z. Y S ( z) 0, 076z = = 0, 905z X X e v ( z) ( z) X ( z) z X ( z) z X ( z) e 0, 905 e = 0, 076 v átrendezve: X ( z) z X ( z) z X ( z) e = 0, 905 e + 0, 076 v Az eltolási tétel figyelembevételével: x = 0, 905x + 0, 076 x ek e( k ) v( k ) Az előbbi egyenletek és az alapjelre vonatkozó egyenlet segítségével az alábbi táblázatban összefoglaltuk a szabályozási rendszer jeleit. A táblázat minden sora egy-egy mintavételezési időpontnak felel meg. A táblázatot soronként kell kitölteni. Így a következő sorban az előző mintavételezési időpont értékét az őt megelőző sor megfelelő cellájából olvassuk ki (a ferde vonalaknak megfelelően). 32

133 k x ak- 0 0 (kiindulás ) stb. 0,825 0,362-0,227 alapjel x ak =cos 0,6k x ak =cos 0 = x ek- 0 (kiindulás) x ak =cos 0,6 =0,825 0 x ak =cos 0,6*2 =0,362 0,684 x ak =cos 0,6*3 = -0,227 0,989 x ak =cos 0,6*4 =-0,737 0,779 ellenőrzőjel x ek =0,905 x ek- x vk- +0,076x vk- 0 0 (kiindulás) 0,684 0,989 0,779 0,57 Számítási táblázat 9 4,869 -,535-7,94 végrehajtójel x vk =x vk- +9(x ak -x ek ) -5,4(x ak- -x ek- ) 9 4,869 -,535-7,94-9,808 A szimulációs feladatot természetesen megoldhatjuk saját készítésű számítógépes algoritmus segítségével is. Ebben az esetben a képernyőn is kirajzoltathatjuk a jelek időbeli alakulását. A fenti feladatot pl. a digszim.exe demeonstrációs programmal illusztráltuk. A panelen beállíthatjuk a végrehajtójelet és az ellenőrzőjelet számító képletek együtthatóit, a periodikusan változó alapjel frekvenciáját és amplitúdóját. 97. ábra Vezetési tulajdonság számítása digszim.exe képernyőképe 33

134 A PID-algoritmus módosított változatai A digitális szabályozási körökben gyakran használják az ún. módosított PIDalgoritmusokat. Korlátozott arányos hatású PID-szabályozási algoritmus Vizsgáljuk meg az előző PID sebesség - algoritmust: T x A ( x x ) ( ) T x TD T x x x vn = R rn r n + rn + rn r n + ( ) 2 ( ) r( n 2 ) I 23 P hatás I hatás Ha a P - és I -tag előjelét megvizsgáljuk annak függvényében, hogy a rendelkező jel az egyensúlyi állapottól távolodik vagy az egyensúlyi állapot felé tart, a következő eredményt kapjuk: a P - és I - tag előjele megegyezik, ha a rendelkező jel az egyensúlyi állapottól távolodik, a P - és I -tag előjele különböző, ha a rendelkező jel az egyensúlyi állapot felé tart. Ha a szabályozott jellemző értéke az alapjel értékéhez közeledik, a sebességalgoritmusban a P -hatás az I -hatást csökkenti. A rendelkező jel egyensúlyi állapotában ez szükséges is, hiszen ez akadályozza meg, hogy az I -hatás a szabályozott jellemző nagy mértékű túllendülését okozza. Az egyensúlyi állapottól jelentősen eltérő rendelkező jel esetén azonban - az egyensúly felé közeledő rendszernél - az arányos hatás rontja a szabályozott jellemző gyors beállását. Az ún. korlátozott arányos hatású sebesség - algoritmusban e nem kívánatos hatást úgy küszöbölik ki, hogy a rendelkező jel egyensúlyi állapotának környezetében egy sávot jelölnek ki: ± H, és ha a rendelkező jel az egyensúlyi állapot felé tart, de értéke ± H értéknél nagyobb, az algoritmusban a x vn kiszámításánál a P - hatást nem veszik figyelembe. Ennek eredményeképpen a szabályozott jellemző beállási ideje lecsökken. Az egy- és két-tárolós szabályozott szakaszokkal végzett számítógépes szabályozási kísérletek tapasztalatai szerint a H értéket a rendelkező jel teljes tartományának 0% - ára lehet megválasztani. Nagy tehetetlenségű szabályozott szakaszok esetén a H értéket növelni kell. Hibanégyzetes PID sebesség - algoritmus A hibanégyzetes PID sebesség - algoritmus képlete a következő: T D ( ( ) ) ( ) rn rn ( rn r( n ) r( n )) x A x x x x T x x T T x x x vn = R rn r n rn r n Az algoritmus nagy értékű rendelkező jelre és azok nagy változásaira nemlineáris módon erősíti a P - és az I - hatást, s ezáltal a szabályozott jellemző beállását gyorsítja. A hibanégyzetes - algoritmus kis rendelkező jelekre és azok kis változásaira érzéketlenebb, mint az eredetileg felírt sebesség - algoritmus. Ez előnyös, ha a rendelkező jelben zavarjelek lépnek fel, ugyanis így a zavarjelek a beavatkozó szervet feleslegesen nem működtetik. I 34

135 98. ábra Ugrásszerű rendelkezőjelváltozás hatása apid- (kék), korlátozott arányos hatású PID- (zöld) és a négyzetes PID- (piros) szabályozóra. (A R =, T I =s, T D =0.5s, H= 5, T=0.2s). Felnyitott körben! 99 ábra Szabályozóalgoritmusok összehasonlítása A mellékelt ábra a digszab.exe demonstrációs program megfelelő paraméterekkel futtatott panelfelületének egy-egy részletét mutatja. A görbék minőségileg mutatják, hogy zárt szabályozási körök azonos szabályozó paraméterekkel, (PT3-szakasz és PIszabályozó A R =2, T I =8) ugyanolyan mértékű zavarás hatására hogyan viselkednek. Természetesen a program futtatásával lehetőségünk nyílik a szabályozás mennyiségi kiértékelésére, a szabályozási kör jellemző paramétereinek meghatározására! A szabályozott jellemző alapjel változtatáskor fellépő lengéseinek csillapítása érdekében alkalmaznak olyan digitális PID-algoritmusokat, amelyekben a differenciáló hatás számításakor az x r rendelkező jel helyett az x e ellenőrző jelet veszik figyelembe. Az algoritmus képlete így helyzet algoritmus esetén: 35

136 T TD ( ) rn ( xen xen xen ) x x A x x T x vn = v( n ) + R rn r( n ) ( ) ( 2 ). T Illetve sebesség - algoritmus esetén: I T TD ( ( ) ) rn ( xen xen ( ) xen ( )) x A x x T x vn = R rn r n T I A digitális PID-szabályozók paramétereinek meghatározása A digitális PID-szabályozók paramétereinek, adott szabályozott szakasz és előírt minőségi kritériumok mellett történő helyes megválasztására többféle gyakorlati módszert használnak. a folyamatos szabályozó beállítására használt Ziegler - Nichols módszer; stabilitási tartalékot biztosító módszerek; integrálkritériumok minimalizálásán alapuló módszerek; A folytonos szabályozók beállítására használt módszerek abban az esetben alkalmazhatóak a digitális szabályozó beállítására, ha a mintavételezési periódusidő a szabályozott szakasz jellemző időállandóihoz viszonyítva kis érték. Optimalizálás a szabályozás matematikai szimulációjával Optimalizálás alatt azt értjük, hogy a szabályozó paramétereit addig módosítjuk, míg a legmegfelelőbb szabályozási jellemzőket kapjuk. A szabályozás jóságának mértékéül valamilyen optimalizálási kritériumot kell bevezetni. A négy ismert integrálkritériumot közelítsük összegzéssel. Lineáris optimalizálás: min x () t dt = r 0 R= 0 legyen a lehető legkisebb érték. négyzetes optimalizálás: 2 2 min x ( t) dt = x T. 0 r k = 0 rk x rk T ITAE kritérium (Intgral of Time-multiplied Absolut-value of Error ): min x ( t) tdt x kt 0 r k = 0 kibővített négyzetes integrál kritérium: min x ( t) + px ( t) dt x + px T. rk ( ) ( 0 r v rk vk k = 0 2. ) Ez utóbbit akkor használjuk, ha csak kis végrehajtójel-változást engedhetünk meg. A szimulációs példa hnegyopt.exe a szabályozó erősítését úgy határozza meg, hogy a szabályozás optimális vezetési tulajdonságú legyen ugrásszerű alapjelváltoztozásra, a négyzetes integrálkritérium alapján. 36

137 Legyen a szakasz átviteli függvénye: Y ( s) = s As Ts+ A T s = 08, = 2sec T = 02, sec Szabályozzuk PI-szabályozóval: A R =? TI = 5 sec A szabályozott szakasz és a nullad-rendű tartó sorbakapcsolásával kapott Y ( z) átvitelei függvény táblázatból: S ( ) Y z = A S s TT / 02, ( ) ( ) T/ T ( e ) TT / e TT / = A s z e e T/ T A e = 0, 8 e = 0, 076 e s T/ T = 0, 905 z z Y S ( z) 0, 076z = = 0, 905z X X e v ( z) ( z) X ( z) z X ( z) z X ( z) e = 0, 905 e + 0, 076 v Az eltolási tétel figyelembevételével: x = 0, 905x + 0, 076 x ek e( k ) v( k ) A négyzetes integrálkritériumnak az A R =2 szabályozóerősítéssel felel meg a szabályozási kör. 37

138 00. ábra Példa a négyzetes optimalizálásra Optimalizálás a szakasz kimérése alapján Ezen optimalizálási eljárás az AR; Ti; TD paraméterek értékét határozza meg úgy, hogy jó vezetési és zavarkompenzálási tulajdonságú szabályozási köröket kapjunk. Az optimális érték meghatározásához a szabályozandó szakaszról bizonyos információkat kell szereznünk. Az egyik módszer szerint a szabályozandó szakasz átmeneti függvényéből nyerjük ezeket az információkat, a másik eljárás a P- szabályozási algoritmussal működő, zárt szabályozási kör kritikus állapotából származtatja a PID-szabályozó paramétereit. Optimalizálás a szabályozott szakasz átmeneti függvénye alapján Ezen eljárásnál a szabályozott szakasz átmeneti függvényét kísérleti úton határozzuk meg, hasonlóan az analóg szabályozási körök optimalizálásához. A grafikus kiértékelés után táblázatból olvassuk ki az optimális szabályozó beállítását. 38

139 A digitális szabályozó optimális beállítása a szakasz átmeneti függvénye alapján A szabályozó típusa P PI A R T T A T H s + T TH T 0, 9 + 0, 35 T T A T 2 H s + 05, T T T i 0, 857 T 286, H + T Td T PID T T 2 TH TH 2, + 09, T T A T H TH s , T T 2 TH + T 2 TH TH 2 + 5, T T TH T TH + T + 05, 2 TH TH 2 + 5, T T 2 Digitális PID-szabályozó optimális beállítása a szabályozott szakasz átmeneti függvénye alapján A képletek nem alkalmazhatók T H /T 0 estén. Emlékeztetőül a szakasz átmeneti függvényének grafikus kiértékelése: 0. ábra Az átmeneti függvény grafikus kiértékelése Például: 39

140 Legyen T H = 2sec, T = 4sec, A s =, a mintavételezési ciklusidő: T = 0, 2sec. A szabályozó: PI-algoritmus szerint működjön. A táblázat adatai szerint a szabályozó paramétereinek javasolt beállítása: A Ropt = T T TH 0, 9 + 0, 35 T A T 2 H s + 05, T = 69, T T T I opt Iopt 0, 857 = = 0, 029 Ti 286, + T = 689, Az optimális PI-szabályozási algoritmus: ( ) x = x +, 69 x x + 0, 029 x vk v( k ) rk r( k ) rk A zárt szabályozási kör viselkedését ugrásszerű alapjelváltozásra a futszab.exe demonstrációs program segítségével tanulmányozhatjuk. Állítsuk be a szakasz és az optimális szabályozó paramétereit, indítsuk el a programot és változtassuk meg az alapjel értékét 0%-al. Határozzuk meg a szabályozást jellemző paramétereket a trendgörbe kiértékelésével. Az alábbi ábra - amely a demonstrációs program trendgörbéje az előbbi példa adataival futtatva - 40% 50% alapjelváltoztatás hatását mutatja. Látható, hogy az ajánlott szabályozó beállítás a leggyorsabb lengő (maximális túllendülés kisebb mint 20%) átmenetet biztosítja. Amennyiben célunk olyan szabályozás megvalósítása, amely pl. túllendülés mentes átmenetet biztosít, a táblázat alapján számított paramétereket módosítanunk kell. Tehát a táblázat adatai ajánlások, melyeket kiindulásként elfogadva, a konkrét feladathoz illesztünk. 40

141 02. ábra PT T H -szakasz szabályozása PI-szabályozóval (alapjelkövetés).- a szabályozási idő meghatározása Optimalizálás a szabályozási kör kritikus lengésének kiértékelésével Ahhoz, hogy a szabályozási kör kritikus lengő állapotba kerüljön, az adott szakaszt P-szabályozási algoritmussal szabályozzuk. A szabályozó A R erősítését lépésenként növeljük, amíg a szabályozott jellemző állandó amplitúdójú lengéseket nem végez. Ez az úgynevezett kritikus lengő állapot. Azt a szabályozóerősítést, amelyen ez a kritikus lengés fellép, jelöljük -nak, a kritikus lengés periódusidejét pedig T -nak. A Rkrit T krit A Rkrit Miután és értékeket méréssel meghatároztuk, a különböző típusú szabályozók optimális paraméterértékeit a táblázatban szereplő képletek segítségével kiszámíthatjuk. A kritikus lengést ugyanolyan mintavételezési értékkel kell felvennünk, mint amivel a későbbiekben a szabályozás működni fog. krit A digitális szabályozó optimális beállítása az állandó amplitúdójú lengés alapján A szabályozó típusa A R T T i Td T P A Rkrit 2, ARkrit, T PI T T PID 06, ARkrit T krit krit 2, Tkrit 06, T 2 Tkrit T T T krit 0, 25 T T krit A digitális szabályozó beállítása az állandó amplitúdójú lengések alapján 4

142 03. ábra A kritikus lengési idő meghatározása Például: Méréssel az alábbi eredményeket kaptuk: 04. ábra Zárt szabályozási kör kritikus állapotban A Rkrit = 5, T krit = 5sec, a mintavételezési periódusidő T = 0, sec. A PI-szabályozó paraméterei: (a táblázatból) 42

143 A Ropt T = 045, ARkrit 06, = 223, T krit T = T T I i opt = 42, s 2, Tkrit 06, T = 0, 024 A fenti értékeket behelyettesítve a PI-algoritmus képletébe, az alábbi optimális szabályozási algoritmust kapjuk: ( ) x = x + 2, 23 x x + 0, 024x vk vk r rk rk (Az előző és a mostani példában szereplő irányított szakasz nem ugyanaz!) 05. ábra A digszab.exe demonstrációs program a példa adataival futtatva A digszab.exe demonstrációs program megfelelő paraméter beállításokkal alkalmas a digitális szabályozások szimulációjára. A szakasz arányos, első-, másod -, vagy harmadrendű időkésleltetéses, attól függően, hogy a szelep és a távadó karakterisztikáját figyelembe vesszük-e. A szabályozó digitális PID-, módosított arányos hatású PID-, vagy hibanégyzetes PID- algoritmussal működhet. Futtatás után a trendgörbe megfelelő méretre nagyításával a szabályozást jellemző paraméterek meghatározhatók. 43

144 A digitális szabályozóalgoritmus szintézise DDC rendszer méretezése véges beállásra Közvetlen digitális szabályozó alkalmazásakor előírásszerűen megkövetelhetjük, hogy a szabályozott jellemző, ill. az ellenőrzőjel véges számú mintavételi idő eltelte után az alapértéknek megfelelő véges érték legyen. Tételezzük fel, hogy a szabályozási kör hatásvázlata megfelel a 2. ábra előírásainak. Legyen a szakasz diszkrét matematikai modellje: e YS z Z s ( ) = Ys () ( ) ( ) 2 n Bz bz + bz 2 + K+ bz n = = 2 Az + az + az 2 + K+ az n sτ n n = + bz i i= n típusú impulzusátviteli függvény. Ekkor a szakasz mintavételezett kimenőjele egység-mintasorozatnak megfelelő bemenő jel mellett: X ( z) = Y ( z) X ( z) = e S v Bz ( ) z Az ( ) z. A kimenő mintasorozat végértéke önbeálló szakasz esetén: z z Bz ( ) x ( ) Y ( z) e = lim S z z z = lim z Az ( ) = + bi Ezt a végértéket a kimenőjel a t = időpontban veszi fel. Ha a szakasz bemenő mintasorozatát egység-mintasorozat helyett a X ( z) = v Az ( ) n b i z z képlet szerint válogatjuk, a kimeneti mintasorozat szerint alakul. Részletezve: Ennek végértéke: X e X ( z) = e ( z) x e = n Bz ( ) Az ( ) b i Az ( ) z Bz ( ) z n n z = z b b i ( n ) bz n b bz z + 2 z + L + z = + 2+ K +. ( ) n ( b b bn) bi i a. i. i az i i 44

145 Az így kapott kimeneti mintasorozat lényeges tulajdonsága, hogy az nt időponttól kezdődően minden mintája azonosan az egység, vagyis nt idő alatt az alapértékkel definiált végértékre áll be. Az ellenőrzőjel időtartománybeli értékei az egyes mintavételezési időpontokban, figyelembe véve, hogy a képletben tulajdonképpen az egységugrás jel z- tanszformáltja szerepel, a mintavételezési időpillanatoknak megfelelően z negatív hatványaival illetve egy konstanssal szorozva, rendre: x e ( 0) = 0, x ( T) e = b / bi, x ( T ) = ( b + b2 ) / e b i n 2, M (( ) ) ( ) n xe n T = b + b2+ K + bn / bi, x ( nt) e =, x (( ) e n+ T) =. n A véges beállást eredményező vezérlőjel zárt rendszerben is előállítható. Miután a digitális-szabályozó bemenő jele az X ( z) = X ( z) Y ( z) X v (z) r A S hibajel, az adott X v (z)-t előállító algoritmushoz a digitális szabályozó impulzusátviteli függvényének alakúnak kell lennie. X ( ) v z Y ( z) R = = X ( z) r X ( ) v z X ( z) Y ( z) X ( z) A S v Egység-mintasorozatnak megfelelő alapérték-változás esetén ez: Y ( z) = R Az ( ) z n z bi = z Bz ( ) Az ( ) z n z Az ( ) z b i n Az ( ). b B( z) Y ( z) R ismeretében pedig a végrehajtójel meghatározható: X ( z) Y ( z) X ( z) v = R r képletbe behelyettesítés, és az egyenlet rendezése után, inverz-z transzformációval (az eltolási tétel figyelembevételével) visszatérve időtartományba, a végrehajtójelre i 45

146 nézve programozható, rekurzív formulát kapunk. Ügyeljünk arra, hogy a fenti képletbe történő behelyettesítés előtt, a szakasz impulzusátviteli függvényének számláló és nevező polinomját is z negatív hatványai szerint rendezzük. Ezzel biztosíthatjuk, hogy az időtartományban csak a mostani és az azt megelőző mintavételezési időpontokra hivatkozzunk. A fenti összefüggések alapján a véges beállítást biztosító digitális szabályozóalgoritmus megtervezése látszólag igen egyszerű, hiszen a szabályozott szakasz impulzusátviteli függvénye a szabályozó Y ( z) R impulzusátviteli függvényét egyértelműen megszabja. Ez valóban így van, ha e polinomok együtthatói rendelkezésre állnak. Figyelembe kell azonban venni azt, hogy ezek egyrészt a T mintavételezési periódusidő függvényei, másrészt a végrehajtójelre is lehetnek előírt korlátozások, melyeket be kell tartani a szabályozóalgoritmus tervezésénél. Ha a mintavételezési idő T, akkor a szabályozott jellemző nt idő alatt éri el végértékét, ahol n az Y ( ) S z fokszáma, technikai adottság. Ebből következik, hogy gyors beállást T kis értékre való választása biztosítana. Ezzel ellentétes hatás, hogy a gyors beálláshoz nagy túlvezérlés tartozik. A módosított jellemző időbeni alakulása ugyanis: ( ) X z = ( ) Az n b i z z = n = n b i ( ) ( a ) z ( a a ) z 2 n n a i z + + ai z + 2 K 2 ( + ) ( ) ( ) ( ) ( ) n n 0 2 K ( ) = X + X z + X z + + X n z + X n+ z + K n + K = Miután általában a módosított jellemző a t = 0 időpontban veszi fel a maximális értékét (az alapérték megváltozásának pillanatában), ezért a korlátozási feltétel támpontot adhat a mintavételezési idő megválasztására: x ( ) = v 0 n v max b i ( T ) (Ennek T - re történő vizsgálata gyakran transzcendens algebrai egyenlet megoldását igényelné, ezért sokszor egyszerűbb próbálgatással megkeresni a gyököket.) A mintavételezési időköz T meghatározása után az a i és b i együtthatók, majd az ezekből a véges beállást biztosító Y ( z) R meghatározható. X. Példa: DDC rendszer méretezése véges beállásra Legyen a szakasz átviteli függvénye: Y ( s) s = + 0 s. 46

147 Tervezzünk végesbeállást biztosító algoritmust, határozzuk meg a mintavételi időt, ha a végrehajtójel korlátozása: X vmax = 0! A szakasz diszkrét matematikai modellje: e YS z Z s sτ ( ) = Ys () b = -e -T/0, a = - e -T/0. ( ) ( ) Bz bz = = = Az + az e e z T 0 T 0 z. A Végrehajtójel a t = 0 időpontban veszi fel a maximális értékét (az alapérték megváltozásának pillanatában), ezért a korlátozási feltétel támpontot ad a mintavételezési idő megválasztására: x v ( 0) = n b T behelyettesítve: i ( ) X, v max x ( ) v 0 = = b e T 0 0 ebből T-t kifejezve: T. Legyen T =, sec, akkor: b = -e -T/0 = 0,046 a = - e -T/0 = -0,8958. Bz ( ) 0, 046z. Y ( z) S = = Az ( ) 0, 8958z A digitális szabályozó impulzusátviteli függvénye: X ( ) ( ) v z Xv z Y ( ) R z = = X ( z) X ( z) Y ( z) X ( z) r A S v alakú. Egységmintasorozatnak megfelelő alapérték-változás esetén ez a fentieknek megfelelően: Y ( z) R ( z) = =. n A b B i 0,8958z 0,046 ( ) ( z ) z 47

148 A végrehajtójel: X ( z) = Y ( z) X ( z) X v R r ( z) 0,8958z = 0,046 ( z ) X 0,8958z 0,046 0,046z ( z) = X ( z) v r 0,046X X v v ( z) 0,046z X ( z) = X ( z) 0,8958z X ( z) ( z) = z X ( z) + 9,6X ( z) 8,6z X ( z) v r v r r A végrehajtójel számítására alkalmas rekurzív formula a z-transzformáció eltolási tételének figyelembevételével: X = X + 96, X 86, X. v k v ( k ) r k r ( k ) r r Az ellenőrzőjel értéke (zavarás nélküli rendszert feltételezve): X ( z) = Y ( z) X ( z) = e S v Jelen esetben ez: X ( z) e = bz b Bz ( ) Az ( ) Az ( ) z Bz ( ) z n n z = z. b b z z z z = z = z i szerint alakul. (Vagyis az egységugrás egy mintavételezéssel eltolva!) Az egyes minták értékei rendre: x e ( 0) = 0 x ( T) e =, x ( 2 T) =, e M stb. Tehát az ellenőrzőjel egy lépésben (T idő alatt) felveszi az új értékét! A végrehajtójel alakulása: X = X + 96, X 86, X v k v ( k ) r k r ( k ) A szabályozási kör a t ezért: i = 0 időpillanatban egyensúlyban van (nyugalomban van), 48

149 ... x ( ) ( ) ( ) r 3T = xr 2T = xr T = 0... x ( 3T) = x ( 2T) = x ( T) = 0. v v v x ( kt) a =, ha k 0 ; = 0, ha k 0. A végrehajtójel értéke a mintavételezési időpontokban: x v ( 0) = 0+ 96, ( 0) = 96, x ( T) ( ) ( ) v = 96, + 96, 86, 0 =, x ( 2 T) =, v x ( 3 T) =, v M stb. A jelek időbeni alakulása a vegsim. exe program megfelelő paraméterezésével futtatva: 06. ábra Végesbeállású algoritmus szimulációja Példa: Végesbeállású algoritmus ellenőrzése A végesbeállású algoritmus úgy ellenőrizhető, hogy ugrásszerű alapjelváltozásra meghatározzuk a szabályozási kör válaszát (az elenőrzőjel alakulását), amelynek bizonyos idő elteltével fel kell vennie pontosan az alapjel értékét. Legyen a szabályozott szakasz átviteli függvénye: 49

150 Y ( s) = s A ( + ) sts s legyen: A = s sec T = sec. A szakaszt végesbeállású szabályozási algoritmussal szabályozzuk. Mintavételezési idő: T = sec; Az ugrásjel nagysága: x = a Vol t. A szabályozandó szakaszhoz illeszkedő végesbeállású algoritmus a fenti képletek alapján levezetve: x. vk = 0,48xv( k ) +,582xrk 0, 582xr( k ) A szakasz impulzusátviteli függvénye nullad-rendű tartóval: (táblázatból) Y s 2 2 ( z) = = 2 2 z f z + f + e z + e 2 b z + a z + b z a z ahol a = e T / T =,368; a b 2 = e = A T / T s = 0,368; T / T ( T + ( e ) T ) = 0,368; b 2 = A s = T / T T / T ( e T + ( e ) T ) = 0, 264 Meghatározható a szakasz viselkedését leíró differencia-egyenlet: x =, 368x 0, 368x + 0, 368x + 0, 264x ek ek ek 2 vk vk 2 x ( kt) =, ha k 0 ; = 0, ha k 0 a t = 0 időpillanatban a szabályozás nyugalomban van. Ezért az ellenőrzőjel és a végrehajtójel kezdeti értéke zérus. A mellékelt táblázat mutatja az előző példához hasonló módon a számított értékeket. Az ellenőrzőjel k = 2 esetén felveszi a konstans értéket. Tehát a végbeállású algoritmus képlete helyes. 50

151 k x ak- 0 0 (kiind. ) st b. alapjel x ak = x ek-2 x ek- x ak = 0 (kiind. ) x ak = 0 x ak = 0 x ak = 0,582 x ak = 0 (kiind.) 0 0,582 ellenőrző -jel x ek x vk-2 x vk- 0 0 (kiind.) 0,582 Számítási táblázat 0 (kiind.) 0,589,589-0,589-0, végrehajtójel x vk =-0,48x vk- +,582(x ak -x ek ) -0,582(x ak- -x ek- ),582-0, ábra A végesbeállású szabályozási algoritmus ellenőrzése 5

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Analóg-digitális átalakítás Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Mai témák Mintavételezés A/D átalakítók típusok D/A átalakítás 12/10/2007 2/17 A/D ill. D/A átalakítók A világ analóg, a jelfeldolgozás

Részletesebben

Irányítástechnikai alapok. Zalotay Péter főiskolai docens KKMF

Irányítástechnikai alapok. Zalotay Péter főiskolai docens KKMF Irányítástechnikai alapok Zalotay Péter főiskolai docens KKMF Az irányítás feladatai és fajtái: Alapfogalmak Irányítás: Műszaki berendezések ( gépek, gyártó sorok, szállító eszközök, vegyi-, hő-technikai

Részletesebben

Az irányítástechnika alapfogalmai. 2008.02.15. Irányítástechnika MI BSc 1

Az irányítástechnika alapfogalmai. 2008.02.15. Irányítástechnika MI BSc 1 Az irányítástechnika alapfogalmai 2008.02.15. 1 Irányítás fogalma irányítástechnika: önműködő irányítás törvényeivel és gyakorlati megvalósításával foglakozó műszaki tudomány irányítás: olyan művelet,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel és módszerekkel történik. A feldolgozás előtt az analóg jeleket digitalizálni kell.

Részletesebben

Mérés és adatgyűjtés

Mérés és adatgyűjtés Mérés és adatgyűjtés 4. óra - levelező Mingesz Róbert Szegedi Tudományegyetem 2011. március 18. MA lev - 4. óra Verzió: 1.3 Utolsó frissítés: 2011. május 15. 1/51 Tartalom I 1 A/D konverterek alkalmazása

Részletesebben

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet 2. ZH A csoport 1. Hogyan adható meg egy digitális műszer pontossága? (3p) Digitális műszereknél a pontosságot két adattal lehet megadni: Az osztályjel ±%-os értékével, és a ± digit értékkel (jellemző

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPOK. Erdei István Grundfos South East Europe Kft.

IRÁNYÍTÁSTECHNIKAI ALAPOK. Erdei István Grundfos South East Europe Kft. IRÁNYÍTÁSTECHNIKAI ALAPOK Erdei István Grundfos South East Europe Kft. Irányítástechnika felosztása Vezérléstechnika Szabályozástechnika Miért szabályozunk? Távhő rendszerek üzemeltetése Ø A fogyasztói

Részletesebben

Mérés és adatgyűjtés

Mérés és adatgyűjtés Mérés és adatgyűjtés 4. óra Mingesz Róbert Szegedi Tudományegyetem 2012. február 27. MA - 4. óra Verzió: 2.1 Utolsó frissítés: 2012. március 12. 1/41 Tartalom I 1 Jelek 2 Mintavételezés 3 A/D konverterek

Részletesebben

4-2. ábra. A leggyakoribb jelformáló áramköröket a 4-3. ábra mutatja be. 1.1. A jelformáló áramkörök

4-2. ábra. A leggyakoribb jelformáló áramköröket a 4-3. ábra mutatja be. 1.1. A jelformáló áramkörök Az analóg bementi perifériák az egyenfeszültségű vagy egyenáramú analóg bemeneti jelek fogadására és digitalizálására szolgálnak. A periféria részei (4-2. ábra): a jelformáló áramkörök, a méréspontváltó

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

Mintavételezés és AD átalakítók

Mintavételezés és AD átalakítók HORVÁTH ESZTER BUDAPEST MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM JÁRMŰELEMEK ÉS JÁRMŰ-SZERKEZETANALÍZIS TANSZÉK ÉRZÉKELÉS FOLYAMATA Az érzékelés, jelfeldolgozás általános folyamata Mérés Adatfeldolgozás 2/31

Részletesebben

Az irányítástechnika alapfogalmai

Az irányítástechnika alapfogalmai Az irányítástechnika alapfogalmai 2014. 02. 08. Folyamatirányítás - bevezetés Legyen adott egy tetszőleges technológiai rendszer Mi a cél? üzemeltetés az előírt tevékenység elvégzése (termék előállítása,

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás:

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás: DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A SYS00-A a Dialog-III készülékcsalád analóg jelek kezelésére alkalmas tagja, amely kifejezetten épületgépészeti szabályozási és vezérlési feladatok

Részletesebben

Orvosi Fizika és Statisztika

Orvosi Fizika és Statisztika Orvosi Fizika és Statisztika Szegedi Tudományegyetem Általános Orvostudományi Kar Természettudományi és Informatikai Kar Orvosi Fizikai és Orvosi Informatikai Intézet www.szote.u-szeged.hu/dmi Orvosi fizika

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Minden mérésre vonatkozó minimumkérdések

Minden mérésre vonatkozó minimumkérdések Minden mérésre vonatkozó minimumkérdések 1) Definiálja a rendszeres hibát 2) Definiálja a véletlen hibát 3) Definiálja az abszolút hibát 4) Definiálja a relatív hibát 5) Hogyan lehet az abszolút-, és a

Részletesebben

Analóg digitális átalakítók ELEKTRONIKA_2

Analóg digitális átalakítók ELEKTRONIKA_2 Analóg digitális átalakítók ELEKTRONIKA_2 TEMATIKA Analóg vs. Digital Analóg/Digital átalakítás Mintavételezés Kvantálás Kódolás A/D átalakítók csoportosítása A közvetlen átalakítás A szukcesszív approximációs

Részletesebben

Ipari folyamatirányító rendszerek 9.

Ipari folyamatirányító rendszerek 9. E P E T A Ipari folyamatirányító rendszerek 9. Folyamatirányító rendszerek felépítése 4. Dr. Csubák Tibor, Megyeri József, Barta Gergely Budapesti Műsza és Gazdaságtudományi Egyetem A folyamatirányító

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

2.1. A zajos jelátvitel modellje

2.1. A zajos jelátvitel modellje Sajnálatos módon a folyamat és főként a környezet nem csak szép arcát mutatja a számítógép felé, hanem rút vonásai is lépten-nyomon kiütköznek. Ezek a rút vonások a zavarjelek. Figyelemreméltó tény, hogy

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Irányítástechnika 12. évfolyam

Irányítástechnika 12. évfolyam Irányítástechnika 12. évfolyam Irányítástechnikai alapismeretek Az irányítás fogalma. Irányítási példák. Az irányítás részműveletei: Érzékelés (információszerzés). Ítéletalkotás (az megszerzett információ

Részletesebben

Tartalom. Port átalakítók, AD/DA átalakítók. Port átalakítók, AD/DA átalakítók H.1. Port átalakítók, AD/DA átalakítók Áttekintés H.

Tartalom. Port átalakítók, AD/DA átalakítók. Port átalakítók, AD/DA átalakítók H.1. Port átalakítók, AD/DA átalakítók Áttekintés H. Tartalom Port átalakítók, Port átalakítók, Port átalakítók, Port átalakítók, Áttekintés.2 Soros port átalakítók.4.6.1 Port átalakítók, Áttekintés Port átalakítók, Soros port jelátalakítók és /RS485/422

Részletesebben

Iványi László ARM programozás. Szabó Béla 6. Óra ADC és DAC elmélete és használata

Iványi László ARM programozás. Szabó Béla 6. Óra ADC és DAC elmélete és használata ARM programozás 6. Óra ADC és DAC elmélete és használata Iványi László ivanyi.laszlo@stud.uni-obuda.hu Szabó Béla szabo.bela@stud.uni-obuda.hu Mi az ADC? ADC -> Analog Digital Converter Analóg jelek mintavételezéssel

Részletesebben

Roger UT-2. Kommunikációs interfész V3.0

Roger UT-2. Kommunikációs interfész V3.0 ROGER UT-2 1 Roger UT-2 Kommunikációs interfész V3.0 TELEPÍTŐI KÉZIKÖNYV ROGER UT-2 2 ÁLTALÁNOS LEÍRÁS Az UT-2 elektromos átalakítóként funkcionál az RS232 és az RS485 kommunikációs interfész-ek között.

Részletesebben

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen, MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc Debrecen, 2017. 01. 03. Név: Neptun kód: Megjegyzések: A feladatok megoldásánál használja a géprajz szabályait, valamint a szabványos áramköri elemeket.

Részletesebben

PLC-K ÁLTALÁNOS FELÉPÍTÉSE

PLC-K ÁLTALÁNOS FELÉPÍTÉSE PLC-K ÁLTALÁNOS FELÉPÍTÉSE Második generációs PLC felépítése PLC-k programbeviteli lehetőségei A PLC-k programozása történhet: konzollal célszámítógéppel általános célú PC-vel A célszámítógépek ma már

Részletesebben

Számítógépes irányítás

Számítógépes irányítás Számítógépes irányítás BMEGERIAM6S Dr. Aradi Petra BME MOGI 2016. május 3. Dr. Aradi Petra (BME MOGI) Számítógépes irányítás 2016. május 3. 1 / 45 Jelek osztályozása és utaztatása a számítógépes folyamatirányítás

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

Mérési hibák 2006.10.04. 1

Mérési hibák 2006.10.04. 1 Mérési hibák 2006.10.04. 1 Mérés jel- és rendszerelméleti modellje Mérési hibák_labor/2 Mérési hibák mérési hiba: a meghatározandó értékre a mérés során kapott eredmény és ideális értéke közötti különbség

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

ÉPÜLETGÉPÉSZETI ELEKTROMOS ÉS SZABÁLYOZÓ RENDSZEREK

ÉPÜLETGÉPÉSZETI ELEKTROMOS ÉS SZABÁLYOZÓ RENDSZEREK 6203-11 modul ÉPÜLETGÉPÉSZETI ELEKTROMOS ÉS SZABÁLYOZÓ RENDSZEREK I. rész ÉPÜLETGÉPÉSZETI ELEKTROMOS SZERELÉSEK II. RÉSZ VEZÉRLÉS ÉS SZABÁLYOZÁSTECHNIKA TARTALOMJEGYZÉKE Szerkesztette: I. Rész: Tolnai

Részletesebben

2. rész PC alapú mérőrendszer esetén hogyan történhet az adatok kezelése? Írjon pár 2-2 jellemző is az egyes esetekhez.

2. rész PC alapú mérőrendszer esetén hogyan történhet az adatok kezelése? Írjon pár 2-2 jellemző is az egyes esetekhez. Méréselmélet és mérőrendszerek (levelező) Kérdések - 2. előadás 1. rész Írja fel a hiba fogalmát és hogyan számítjuk ki? Hogyan számítjuk ki a relatív hibát? Mit tud a rendszeres hibákról és mi az okozója

Részletesebben

Elektronika Előadás. Digitális-analóg és analóg-digitális átalakítók

Elektronika Előadás. Digitális-analóg és analóg-digitális átalakítók Elektronika 2 9. Előadás Digitális-analóg és analóg-digitális átalakítók Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök, Műszaki

Részletesebben

Uef UAF. 2-1. ábra (2.1) A gyakorlatban fennálló nagyságrendi viszonyokat (r,rh igen kicsi, Rbe igen nagy) figyelembe véve azt kapjuk, hogy.

Uef UAF. 2-1. ábra (2.1) A gyakorlatban fennálló nagyságrendi viszonyokat (r,rh igen kicsi, Rbe igen nagy) figyelembe véve azt kapjuk, hogy. Az alábbiakban néhány példát mutatunk a CMR számítására. A példák egyrészt tanulságosak, mert a zavarelhárítással kapcsolatban fontos, általános következtetések vonhatók le belőlük, másrészt útmutatásul

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS ZEN-C4 nagyobb rugalmasság RS-485 kommunikációval Kínálatunk kommunikációs típussal bővült. Így már lehetősége van több ZEN egység hálózati környezetbe csatlakoztatására.

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Új kompakt X20 vezérlő integrált I/O pontokkal

Új kompakt X20 vezérlő integrált I/O pontokkal Új kompakt X20 vezérlő integrált I/O pontokkal Integrált flash 4GB belső 16 kb nem felejtő RAM B&R tovább bővíti a nagy sikerű X20 vezérlő családot, egy kompakt vezérlővel, mely integrált be és kimeneti

Részletesebben

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. október 17. Laboratóriumi berendezések

Részletesebben

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA 1. Egyenáramú körök Követelmények, matematikai alapok, prefixumok Töltés, áramerősség Feszültség Ellenállás és vezetés. Vezetők, szigetelők Áramkör fogalma Áramköri

Részletesebben

Az Informatika Elméleti Alapjai

Az Informatika Elméleti Alapjai Az Informatika Elméleti Alapjai dr. Kutor László Jelek típusai Átalakítás az analóg és digitális rendszerek között http://mobil.nik.bmf.hu/tantargyak/iea.html Felhasználónév: iea Jelszó: IEA07 IEA 3/1

Részletesebben

termelésirányítás technológiai irányítás felügyelői irányítás

termelésirányítás technológiai irányítás felügyelői irányítás A technológiai folyamatok működésének szervezeti kerete a vállalat, a gyár. Ez a keret a kezelt információk szempontjából eléggé élesen két különböző hierarchia-szintre tagolható (1-1. ábra). 1-1. ábra

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

Mérés, Vezérlés. mérésadat rögzítés CMC - 99 CMC kis és nagytestvér

Mérés, Vezérlés. mérésadat rögzítés CMC - 99 CMC kis és nagytestvér Mérés, Vezérlés mérésadat rögzítés CMC - 99 CMC - 141 kis és nagytestvér Bevezetés A MultiCon eszközök nagyhatékonyságú kijelzőt, mérés adatgyűjtőt és szabályzókat foglalnak magukban. Mindez a tudás és

Részletesebben

A digitális analóg és az analóg digitális átalakító áramkör

A digitális analóg és az analóg digitális átalakító áramkör A digitális analóg és az analóg digitális átalakító áramkör I. rész Bevezetésként tisztázzuk a címben szereplő két fogalmat. A számítástechnikai kislexikon a következőképpen fogalmaz: digitális jel: olyan

Részletesebben

Irányítástechnika 1. 7. Elıadás. Programozható logikai vezérlık

Irányítástechnika 1. 7. Elıadás. Programozható logikai vezérlık Irányítástechnika 1 7. Elıadás Programozható logikai vezérlık Irodalom - Helmich József: Irányítástechnika I, 2005 - Zalotay Péter: PLC tanfolyam - Klöckner-Möller Hungária: Hardverleírás és tervezési

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Informatika Rendszerek Alapjai

Informatika Rendszerek Alapjai Informatika Rendszerek Alapjai Dr. Kutor László Alapfogalmak Információ-feldolgozó paradigmák Analóg és digitális rendszerek jellemzői Jelek típusai Átalakítás rendszerek között http://uni-obuda.hu/users/kutor/

Részletesebben

TB6600 V1 Léptetőmotor vezérlő

TB6600 V1 Léptetőmotor vezérlő TB6600 V1 Léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/4, 1/8, 1/16. A vezérlő egy motor meghajtására képes 0,5-4,5A között állítható motoráram Tápellátás: 12-45V közötti feszültséget igényel

Részletesebben

Mûveleti erõsítõk I.

Mûveleti erõsítõk I. Mûveleti erõsítõk I. 0. Bevezetés - a mûveleti erõsítõk mûködése A következõ mérésben az univerzális analóg erõsítõelem, az un. "mûveleti erõsítõ" mûködésének alapvetõ ismereteit sajátíthatjuk el. A nyílthurkú

Részletesebben

Áramkörszámítás. Nyílhurkú erősítés hatása

Áramkörszámítás. Nyílhurkú erősítés hatása Áramkörszámítás 1. Thevenin tétel alkalmazása sorba kötött ellenállásosztókra a. két felező osztó sorbakötése, azonos ellenállásokkal b. az első osztó 10k, a következő fokozat 100k ellenállásokból áll

Részletesebben

Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz

Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz A mobil robot vezérlőrendszerének feladatai Elvégzendő feladat Kommunikáció Vezérlő rendszer

Részletesebben

Mérés és adatgyűjtés

Mérés és adatgyűjtés Mérés és adatgyűjtés 5. óra - levelező Mingesz Róbert Szegedi Tudományegyetem 2011. március 18. MA lev - 5. óra Verzió: 1.1 Utolsó frissítés: 2011. április 12. 1/20 Tartalom I 1 Demók 2 Digitális multiméterek

Részletesebben

1. Visszacsatolás nélküli kapcsolások

1. Visszacsatolás nélküli kapcsolások 1. Visszacsatolás nélküli kapcsolások 1.1. Kösse az erõsítõ invertáló bemenetét a tápfeszültség 0 potenciálú kimenetére! Ezt nevezzük földnek. A nem invertáló bemenetre kösse egy potenciométer középsõ

Részletesebben

Programozható Logikai Vezérlő

Programozható Logikai Vezérlő 4. előadás Tartalom: A feladata A felépítése, típusai, részegységei Programnyelvek Programozás (FST) FESTO -k bemutatása (FEC20-DC, ) FEC programozása FST bemutatása Automatizálástechnika I. előadás Farkas

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Jelkondicionálás. Elvezetés. a bioelektromos jelek kis amplitúdójúak. extracelluláris spike: néhányszor 10 uv. EEG hajas fejbőrről: max 50 uv

Jelkondicionálás. Elvezetés. a bioelektromos jelek kis amplitúdójúak. extracelluláris spike: néhányszor 10 uv. EEG hajas fejbőrről: max 50 uv Jelkondicionálás Elvezetés 2/12 a bioelektromos jelek kis amplitúdójúak extracelluláris spike: néhányszor 10 uv EEG hajas fejbőrről: max 50 uv EKG: 1 mv membránpotenciál: max. 100 mv az amplitúdó növelésére,

Részletesebben

Ipari mintavételes PID szabályozóstruktúra megvalósítása

Ipari mintavételes PID szabályozóstruktúra megvalósítása Ipari mintavételes PID szabályozóstruktúra megvalósítása 1. A gyakorlat célja Készítsen diszkrét PID szabályozót megvalósító programot C++, obiektumorientált környezetben. Teszteléssel igazolja a szabályozó

Részletesebben

A/D ÉS D/A ÁTALAKÍTÓK

A/D ÉS D/A ÁTALAKÍTÓK A/D ÉS D/A ÁTALAKÍTÓK 1. DAC egységek A D/A átalakító egységekben elvileg elkülöníthető egy D/A dekódoló rész és egy tartó rész: A D/A dekódoló diszkrét időpontokban a digitális értékéknek megfelelő amplitúdók

Részletesebben

Kommunikáció az EuroProt-IED multifunkcionális készülékekkel

Kommunikáció az EuroProt-IED multifunkcionális készülékekkel Kommunikáció az EuroProt-IED multifunkcionális készülékekkel A Protecta intelligens EuroProt készülékei a védelem-technika és a mikroprocesszoros technológia fejlődésével párhuzamosan követik a kommunikációs

Részletesebben

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Misák Sándor ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.02.13.) 1. előadás 1. Általános ismeretek. 2. Sajátos tulajdonságok. 3. A processzor jellemzői.

Részletesebben

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC Jelfeldolgozás a közlekedésben 2017/2018 II. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC Mechatronika és mikroszámítógépek 2016/2017 I. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

MaxiCont. MOM690 Mikroohm mérő

MaxiCont. MOM690 Mikroohm mérő MOM690 Mikroohm mérő A nagyfeszültségű megszakítók és szakaszolók karbantartásának fontos része az ellenállás mérése. A nagy áramú kontaktusok és egyéb átviteli elemek ellenállásának mérésére szolgáló

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

USB I/O kártya. 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható.

USB I/O kártya. 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható. USB I/O kártya 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható. Műszaki adatok: - Tápfeszültség: 12V DC - Áramfelvétel:

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

1. Metrológiai alapfogalmak. 2. Egységrendszerek. 2.0 verzió

1. Metrológiai alapfogalmak. 2. Egységrendszerek. 2.0 verzió Mérés és adatgyűjtés - Kérdések 2.0 verzió Megjegyzés: ezek a kérdések a felkészülést szolgálják, nem ezek lesznek a vizsgán. Ha valaki a felkészülése alapján önállóan válaszolni tud ezekre a kérdésekre,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

ALPHA és ALPHA XL műszaki leírás

ALPHA és ALPHA XL műszaki leírás ALPHA és ALPHA XL műszaki leírás ALPHA műszaki leírás: Általános jellemzők Alpha sorozat Környezeti hőmérséklet 0 55ºC Működési hőmérséklet 0 55ºC Tárolási hőmérséklet -30 70ºC Védelmi típus IP20 Zavarvédettség

Részletesebben

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT E3NT Tárgyreflexiós érzékelõ háttér- és elõtér elnyomással 3 m-es érzékelési távolság (tárgyreflexiós) 16 m-es érzékelési távolság (prizmás) Analóg kimenetes típusok Homloklapfûtéssel ellátott kivitelek

Részletesebben

KÍSÉRLET, MÉRÉS, MŰSZERES MÉRÉS

KÍSÉRLET, MÉRÉS, MŰSZERES MÉRÉS KÍSÉRLET, MÉRÉS, MŰSZERES MÉRÉS Kísérlet, mérés, modellalkotás Modell: olyan fizikai vagy szellemi (tudati) alkotás, amely egy adott jelenség lefolyását vagy egy rendszer viselkedését részben vagy egészen

Részletesebben

Automatikai műszerész Automatikai műszerész

Automatikai műszerész Automatikai műszerész A 10/2007 (II. 27.) SzMM rendelettel módosított 1/2006 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

A mintavételezéses mérések alapjai

A mintavételezéses mérések alapjai A mintavételezéses mérések alapjai Sok mérési feladat során egy fizikai mennyiség időbeli változását kell meghatároznunk. Ha a folyamat lassan változik, akkor adott időpillanatokban elvégzett méréssel

Részletesebben

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu Kaméleon K860 Univerzális Digitális Szabályozó A K860 szabályozók általános automatizálási feladatokra kifejlesztett digitális szabályozók. Épületgépészeti alkalmazásokra kiválóan alkalmasak, gazdaságos

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk egyenáramú jellemzése és alkalmazásai. Elmélet Az erõsítõ fogalmát valamint az integrált mûveleti erõsítõk szerkezetét és viselkedését

Részletesebben

SYS700-DIDO-HFR Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk

SYS700-DIDO-HFR Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk KIVITEL ALKALMAZÁS, ILLESZTHETÕSÉG A SYS700-DIDO-HFR a Dialog-III készülékcsalád digitális jelek kezelésére alkalmas tagja, amely kifejezetten hő- és füstelvezetési vezérlési feladatok ellátására lett

Részletesebben

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek Speciális készülékek KIVITEL ALKALMAZÁS MŰKÖDÉS A DIALOG II PLM digitális szabadon programozható hálózati paraméter felügyeleti modul, három-, vagy egyfázisú hálózatok egyes, energetikai, illetve üzemviteli

Részletesebben

Villamos jelek mintavételezése, feldolgozása. LabVIEW 7.1

Villamos jelek mintavételezése, feldolgozása. LabVIEW 7.1 Villamos jelek mintavételezése, feldolgozása (ellenállás mérés LabVIEW támogatással) LabVIEW 7.1 előadás Dr. Iványi Miklósné, egyetemi tanár LabVIEW-7.1 KONF-5_2/1 Ellenállás mérés és adatbeolvasás Rn

Részletesebben

Szójegyzék/műszaki lexikon

Szójegyzék/műszaki lexikon Tartalom Szójegyzék/műszaki lexikon Szójegyzék/műszaki lexikon Tápegységek Áttekintés.2 Szabványok és tanúsítványok.4 Szójegyzék.6.1 Tápegységek áttekintés Tápegységek - áttekintés A hálózati tápegységek

Részletesebben

Házi Feladat. Méréstechnika 1-3.

Házi Feladat. Méréstechnika 1-3. Házi Feladat Méréstechnika 1-3. Tantárgy: Méréstechnika Tanár neve: Tényi V. Gusztáv Készítette: Fazekas István AKYBRR 45. csoport 2010-09-18 1/1. Ismertesse a villamos jelek felosztását, és az egyes csoportokban

Részletesebben

2. gyakorlat Mintavételezés, kvantálás

2. gyakorlat Mintavételezés, kvantálás 2. gyakorlat Mintavételezés, kvantálás x(t) x[k]= =x(k T) Q x[k] ^ D/A x(t) ~ ampl. FOLYTONOS idı FOLYTONOS ANALÓG DISZKRÉT MINTAVÉTELEZETT DISZKRÉT KVANTÁLT DIGITÁLIS Jelek visszaállítása egyenköző mintáinak

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 0. TANTÁRGY ISMERTETŐ

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 0. TANTÁRGY ISMERTETŐ ÉRZÉKELŐK ÉS BEAVATKOZÓK I. 0. TANTÁRGY ISMERTETŐ Dr. Soumelidis Alexandros 2018.09.06. BME KÖZLEKEDÉSMÉRNÖKI ÉS JÁRMŰMÉRNÖKI KAR 32708-2/2017/INTFIN SZÁMÚ EMMI ÁLTAL TÁMOGATOTT TANANYAG A tárgy célja

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató ÓBUDAI EGYETEM Kandó Kálmán Villamosmérnöki Kar Híradástechnika Intézet Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató A mérést végezte: Neptun kód: A mérés időpontja: A méréshez szükséges eszközök:

Részletesebben

2000 Szentendre, Bükköspart 74 WWW.MEVISOR.HU. MeviMR 3XC magnetorezisztív járműérzékelő szenzor

2000 Szentendre, Bükköspart 74 WWW.MEVISOR.HU. MeviMR 3XC magnetorezisztív járműérzékelő szenzor MeviMR 3XC Magnetorezisztív járműérzékelő szenzor MeviMR3XC járműérzékelő szenzor - 3 dimenzióban érzékeli a közelében megjelenő vastömeget. - Könnyű telepíthetőség. Nincs szükség az aszfalt felvágására,

Részletesebben

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő Műveleti erősítők A műveleti erősítők egyenáramú erősítőfokozatokból felépített, sokoldalúan felhasználható áramkörök, amelyek jellemzőit A u ', R be ', stb. külső elemek csatlakoztatásával széles határok

Részletesebben

Programozható Vezérlő Rendszerek. Hardver

Programozható Vezérlő Rendszerek. Hardver Programozható Vezérlő Rendszerek Hardver Hardver-bemeneti kártyák 12-24 Vdc 100-120 Vac 10-60 Vdc 12-24 Vac/dc 5 Vdc (TTL) 200-240 Vac 48 Vdc 24 Vac Belül 5V DC!! 2 Hardver-bemeneti kártyák Potenciál ingadozások

Részletesebben

Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7.

Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7. Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7. előadás Szederkényi Gábor Pázmány Péter Katolikus Egyetem Információs

Részletesebben

1. Irányítástechnika. Készítette: Fecser Nikolett. 2. Ipari elektronika. Készítette: Horváth Lászó

1. Irányítástechnika. Készítette: Fecser Nikolett. 2. Ipari elektronika. Készítette: Horváth Lászó A mechatronikai technikus képzés átvilágítására és fejlesztésére irányuló projekt eredményeképp az egyes tantárgyakhoz új, disszeminációra alakalmas tanmeneteket dolgoztunk ki. 1. Irányítástechnika. Készítette:

Részletesebben

Elektronika Előadás. Analóg és kapcsoló-üzemű tápegységek

Elektronika Előadás. Analóg és kapcsoló-üzemű tápegységek Elektronika 2 7. Előadás Analóg és kapcsoló-üzemű tápegységek Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - B. Carter, T.R. Brown: Handbook of Operational Amplifier Applications,

Részletesebben