FI rendszerjellemz függvények

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "FI rendszerjellemz függvények"

Átírás

1 FI rendszerjellemz függvények Dr. Horváth Péter, BME HVT 6. október 7.. feladat Határozzuk meg az ábrákon látható hálózatok által reprezentált rendszerek alábbi rendszerjellemz függvényeit, ha a rendszer gerjesztése az i (t), a válasza pedig az i (t)! a) Határozzuk meg az átviteli függvényt, és ábrázoljuk a pólus-zérus elrendezést! b) határozzuk meg az átviteli karakterisztikát! c) Határozzuk meg az ugrásválaszt, és ábrázoljuk azt! d) Határozzuk meg az impulzusválaszt, és ábrázoljuk azt! (PTK 4.4-) R i (t) R L i (t) Áramosztással normálalakban R I (s) = I (s) R + R + sl I (s) I (s) = R R + R + sl, R/L s + R/L. R i (t) R i (t) C Áramosztással normálalakban /sc I (s) = I (s) R + /sc I (s) I (s) = src +, /RC s + /RC. Az áramforrással sorba kapcsolt ellenállás értéke nyilvánvalóan érdektelen. Ahogy a régi dakota közmondás tartja: Ha csuklós Ikarus-buszt kapcsolunk sorba egy áramforrással, az akkor is áramforrás marad.

2 Mindkét rendszer átviteli függvénye A s + α alakú, az átviteli függvénynek egy pólusa van az s = α helyen. Véges zérus nincs. Az átviteli karakterisztika, mivel G-V stabil kauzális rendszerek, H(jω) = H(s) s=jω = A jω + α, az amplitúdókarakterisztika K(ω) = A ω + α (alulátereszt jelleg rendszer), a fáziskarakterisztika Az ugrásválasz (az u(t) = ε(t)-re adott válasz): ϕ(ω) = arctan ω α. G(s) = L {ε(t)} s s A s + α G(s) = C s + C s + α = A/α + A/α s s + α, g(t) = ε(t) A [ e αt ]. α Az impulzusválasz általánosított deriválással h(t) = g (t) = ε(t)ae αt. Ugyanezt kapjuk az átviteli függvény visszatranszformálásával is. h(t) = L {H(s)} = ε(t)ae αt jelen esetben g(t) folytonos a t = -ban, ezért nincs szükség általánosított deriválásra, nincs Dirac-összetev az impulzusválaszban

3 . feladat Az alábbi hálózat által reprezentált rendszer gerjesztése az u s (t) forrásfeszültség, válasza pedig a bejelölt u c feszültség. C = 3µF, C = µf, R = kω. a) Határozzuk meg az átviteli függvényt, és ábrázoljuk a pólus-zérus elrendezést! b) Adjuk meg az amplitúdó- és fáziskarakterisztikát Bode-diagram formájában! c) Határozzuk meg az ugrásválaszt! Ellen rizzük a kezdeti- és végértéket! d) Határozzuk meg az impulzusválaszt! (PTK 4.4-) C R u s (t) u c C Az átviteli függvény feszültségosztással U c(s) U s (s) = /sc + src = /sc + R /sc + sr(c + C ) = RC [V, kω, µf, ms, krad/s] koherens egységrendszerben 5 s +,5 s +,. Az átviteli függvény pólusa p =, ms, zérusa z =,5 ms. A Bode-diagram el állításához a célszer alak: + src + sr(c + C ) = + s,5 + s,, ahonnan kiolvashatóan a két törésponti frekvencia ω b =,5 és ω a =,. R(C + C ) s + RC s + R(C +C )..5 Im{s} = ω Re{s} = σ. ábra. A. feladatbeli rendszer PZ-elrendezése 3

4 5 k(ω)[db] ϕ(ω)[ ] ábra. A. feladatbeli rendszer Bode-diagramja Az alábbi ábrákon a Bode-diagramok aszimptotikus közelítései, illetve a normáltényez k és a Bode-diagram pontos (numerikusan számított) görbéi láthatók. Kékkel a számlálóban lev els - és másodfokú alaptényez k hozzájárulása, pirossal a nevez ben lev els - és másodfokú alaptényez k hozzájárulása látható. Zölddel ábrázoltuk az ered t. A szaggatott vonalak mindig az aszimptoták, a folytonos vékony vonalak a pontos görbék. Az ugrásválasz: G(s) = s s 5 s +,5 s +, = C s + C s +, G(s) = s +,6 s +, az impulzusválasz (pl. általánosított deriválással) g(t) = ε(t) [,6e,t], h(t) = g (t) (ε(t)v(t)) = ε(t)v (t) + δ(t)v(+) = ε(t)(+,)e,t + δ(t),4 h(t) =,4δ(t) +,ε(t)e,t. Az impulzusválaszt az átviteli függvény visszatranszformálásával is közvetlenül megkaphatjuk: { h(t) = L {H(s)} = L 5 s +,5 } { = L,4 +, } =,4δ(t) +,ε(t)e,t. s +, s +, Az ugrásválasz kezdeti értéke a kezdetiérték-tétel alapján g(+) = lim sg(s) = lim s s 5 =,4, végértéke a végértéktétel alapján lim g(t) = lim sg(s) = t s 4

5 Érdekes meggyelni, hogy a hálózat nem reguláris, hiszen tartalmaz csak kondenzátorokból és feszültségforrásból álló hurkot! Ezért a szóban forgó két kondenzátor feszültsége nem lehet független egymástól, a hálózatot egy állapotváltozó (valamelyik kondenzátor feszültsége) jellemzi, a másik kondenzátor feszültsége ugyanezzel az állapotváltozóval kifejezhet. A rendszer els rend, egy-id állandós rendszerként viselkedik, ahogy az az átviteli függvény nevez je alapján nyilvánvaló is. (A bels m ködés is leírható egy állapotváltozóval, ezért gerjesztés-válasz szempontból sem lehet ennél bonyolultabb a rendszer.) 5

6 3. feladat Egy rendszer 3 impulzusválasza h(t) = ε(t) ε(t T ). a) Határozzuk meg a rendszer átviteli függvényét, és ábrázoljuk a pólus-zérus elrendezést! b) Határozzuk meg az átviteli karakterisztikát, és ábrázoljuk annak abszolútértékét és szögét! c) Határozzuk meg és ábrázoljuk a rendszer ugrásválaszát! d) Realizálható-e a rendszer Kirchho-típusú, lineáris, invariáns elemekb l álló hálózattal? e) *Adjuk meg a rendszer -3 db-es sávszélességét! f) *Adjunk explicit kifejezést a válaszjel id függvényére! (PTK 4.4-7) a) L{h(t)} = s ( e st )..Els ránézésre a rendszernek pólusa van a p = -ban. Zérusok: e z kt = (e z k ) T = z k = jk π, k =, ±, ±,.... T A redukált átviteli függvénynek az origóban sem pólusa, sem zérusa nincs. ÁBRA!!! b) Mivel G-V stabilis és kauzális a rendszer 4, ezért H(jω) = H(s) s=jω = e jωt jω = e j ωt e j ωt e j ωt jω = e j ωt j sin ωt jω = e j ωt T sin ωt ωt (emlékezzünk, ebben felismerjük a T/ hosszúságú, T/-vel késleltetett négyszögimpulzus spektrumát!) Az amplitúdókarakterisztika: K(ω) = T sin ωt ωt amelynek értéke K() = T, és nullhelyei vannak az ω k = k π T -ben5, a fáziskarakterisztika, ϕ(ω) = ωt (lineáris). ÁBRA!!! c) Az ugrásválasz komplex frekvenciatartományban: ÁBRA!!! G(s) = s s ( e st ), g(t) = tε(t) (t T )ε(t T ). d) Az átviteli függvény nem racionális törtfüggvény, ezért nem realizálható ún. koncentrált paraméter Kirchotípusú hálózattal. 3 A félév vége felé látni fogjuk, hogy ez pl. a digitál-analóg átalakítókban is használt ún. nulladrend tartó (Zero Order Hold, ZOH) rendszer impulzusválasza. Ezért jól jegyezzük is meg ezt a rendszert. 4 honnan tudjuk? 5 mivel a zérusok a képzetes tengelyre esnek, gondoljuk meg, hogy ezt a PZ-elrendezésb l azonnal láthatjuk! 6

7 e) *A rendszer fáziskarakterisztikája lineáris a frekvencia függvényében, azonban az amplitúdókarakterisztika nem konstans, ezért csak az ω = kis környezetében elhelyezked spektrumösszetev ket képes a rendszer torzításmentesen (pontosabban elhanyagolható amplitúdótorzítás mellett) átvinni. Az alsó határfrekvencia ω a =, a fels -3 db-es (ε = ) határfrekvenciára T sin ω bt ω b T = T, az ω b T = x helyettesítéssel transzcendens egyenlet megoldása (frekvenciával kifejezve sin(x/) = x x =,78, ω b = ω H =,78 T. f b = f H =,44 T ) f) A válaszjel kifejezése konvolúcióval: y(t) = h(t) u(t) = u(τ)h(t τ) dτ. Mivel h(t τ) =, ha t τ < vagy t τ > T, azaz ha τ > t vagy ha τ < t T, elegend τ szerint ezen intervallumban integrálni. Ezen intervallumon h(τ). Ezzel a konvolúció y(t) = t t T u(τ) dτ alakra egyszer södik. Egy konkrét t id ponthoz tartozó válaszjel, y(t ), a gerjesztésnek a t T és t közötti integrálját, mozgó átlagát adja. 6 Ebb l az alakból láthatjuk azt is, hogy az ugrásválaszra kiszámított formula is helyes (u(τ) = ε(τ)). 6 A gyakorlatban sokszor használjuk ezt a mozgó átlagoló rendszert a feldolgozandó jelben lev zaj kisz résére/kiátlagolására. A módszer gyakorlati alkalmazásakor, T megválasztásakor azonban ügyelni kell az el z pontban tárgyalt torzítási szempontokra. Hosszabb T nyilván hatásosabban sz ri ki a jelben lev nagyfrekvenciás zajösszetev ket, de egyben a hasznos jel magasabb frekvenciás összetev it is. 7

8 4. feladat Az ideális alulátereszt sz r átviteli karakterisztikája: H(jω) =, ha ω < Ω, és egyébként. A sz r tehát Ω körfrekvencia alatt minden összetev t átereszt, felette pedig mindent elnyom. Adjuk meg a rendszer impulzusválaszát! Milyen tulajdonságokkal rendelkezik ez a rendszer? h(t) = F {H(jω)} = π Ω Ω e jωt dω = [ e jωt ] Ω πjt Ω = ( e jωt e jωt) sin Ωt = = Ω sin Ωt Ω πjt πt π Ωt π sinc(ωt) Az impulzusválasz nem belép, ezért a rendszer nem kauzális. Az impulzusválasz csonkolásával és késleltetéssel a rendszer kauzálissá tehet, az ideális jelleg rovására. Az id beli csonkítás miatt fellép a Gibbs-oszcilláció is, ez esetben az amplitúdókarakterisztika oszcillál. Az impulzusválasz nem abszolút integrálható, ezért a rendszer nem gerjesztés-válasz stabil..4 h(t).3.. t[s] ábra. Ideális alulátereszt impulzusválasza Ω = rad/s határfrekvenciával 8

9 5. feladat Egy rendszer pólus-zérus elrendezése a 4. ábrán látható, ms egységben megadva. Tudjuk, hogy a rendszer er sítése ω = 5 krad/s körfrekvencián tisztán valós, értéke,5. Adjuk meg a rendszer átviteli függvényét! 4 3 Im{s} = ω Re{s} = σ 4. ábra A rendszer pólusai p, = 4 ± j3 ms, a rendszernek egy zérusa van s = -ban.az egyel re ismeretlen K kiemelt tényez vel s K [s ( 4 + 3j)][s ( 4 3j) = K s (s + 4) + 9 = K s s + 8s + 5. jω H(jω) = K (jω) + 8jω + 5 H(j5) = K 8 =,5 K = s s + 8s + 5 9

10 6. feladat Bontsuk fel a (s + )(s 3) (s + )(s + 4 j)(s j) átviteli függvényt egy minimálfázisú és egy mindentátereszt rendszer áviteli függvényeinek kaszkádjára! 3 Im{s} = ω Re{s} = σ 5. ábra. A 6. feladat szerinti átviteli függvényhez tartozó PZ-elrendezés 3..5 Im{s} = ω Im{s} = ω Re{s} = σ Re{s} = σ 6. ábra. A minimálfázisú és a mindentátereszt rendszerek PZ-elrendezése (s + )(s 3) (s + )(s + 4 j)(s j) = (s + )(s + 3) (s + )(s + 4 j)(s j) (a felbontás egy állandó erejéig egyértelm ) } {{ } H MF (s) s 3 s + 3 }{{} H MA (s)

11 7. feladat Hasonlítsuk össze a és a H (s) = s + (s + )(s + 3) s H (s) = (s + )(s + 3) rendszerek ugrásválaszának jellegét! Az. rendszer minimálfázisú, véges zérusa a z = -ben van. Az ugrásválasza: G (s) = s H (s) = s + s(s + )(s + 3) = /3 s + / s + + /6 s + 3 g (t) = L {G (s)} = 6 ε(t) [ 4 3e t e 3t]. A. rendszer nem minimálfázisú, mert a zérusa z =, a jobb félsíkra esik. Az ugrásválasza: G (s) = s H (s) = A két ugrásválasz a 7. ábrán látható 7. s + s(s + )(s + 3) = /3 s + 3/ s + + 5/6 s + 3 g (t) = L {G (s)} = 6 ε(t) [ 4 9e t + 5e 3t]..8 g(t) g (t) g (t) t 7. ábra. Az ugrásválaszok összehasonlítása 7 Meggyelhet, hogy a nem minimálfázisú rendszer ugrásválasza kezdetben a rossz irányba indul. Ez általában is jellemz, ha nem minimálfázisú rendszernek páratlan számú zérusa esik a jobb félsíkra. Mindennapi példája ennek az állandó sebességgel haladó, a vezet je által stabilizált kerékpár vagy motorkerékpár, ahol a kormánymozdulat hatására bekövetkez kezdeti rossz irányba kilendülés az ellenkormányzás alapja. Ha például hirtelen balra akarunk kanyarodni egy kikerülési man vernél, akkor hatékonyabb el ször a bal oldalon eltolni magunktól a kormányt, ahelyett, hogy azt azonnal magunk felé húznánk.

12 8. feladat a) Határozzuk meg a feszültségforrással gerjesztett soros RLC-kör (soros rezg kör) áramára, mint válaszjelre vonatkozó átviteli függvényt! b) Vázoljuk az amplitúdó- és fáziskarakterisztika Bode-diagramját (tkp. a rezg kör bemeneti admittanciájának, Y (jω)-nak a Bode-diagramját), ha L = 5mH, C = µf és R = ohm ill. R = 5 kω! (PTK 3.-5) Megoldás: a) Operátoros impedanciákkal U s (s) = I(s) [R + sl + /sc] I(s) U s (s) = R + sl + /sc = sc src + s LC + = L s s + R L s + LC Tudjuk, hogy a rezg kör természetes rezonanciafrekvenciája és a rezg kör paraméterei között ω = LC összefüggés van. Innen C = ω L, amit beírva sc = s + sr ω ω L C + s + R ω sc C L = s ω + s + ζ s ω sc ω + = sc s + s Qω +, ahol a ζ mennyiség neve csillapítási tényez, ami a rezg kör jósági tényez jével Q = ζ kapcsolatban van. ζ < értékekre komplex konjugált gyökök, ennél nagyobb értékekre valós gyökök jelennek meg, ami megmagyarázza a csillapítási tényez elnevezést, hiszen a nagy csillapítási tényez azt jelenti, hogy az ellenállás azonnal felemészti a rezgés energiáját. b) [V, ma, kω, ms, H, µf, ms, krad/s] koherens egységrendszerben számolunk. Az átviteli függvény admittancia dimenziójú. Hogy dimenziótlanná tegyük az ábrázoláshoz, normalizáljuk a koherens egységrendszer admittanciaegységére, ms-re! (Ezzel egyenérték, ha azt mondjuk, hogy az R H (s) -t ábrázoljuk, ahol R = kω érték normalizáló ellenállás.) Az átviteli függvény R = ohm-nál H (s) = 4s s +,4s + 4 = R = 5 kω-nál pedig H (s) = 4s s + s + 4 = 4s (s +, + j)(s +, j) = 4 s [ ( 4 s ) ] = +, s + ω [ ( s 4s (s + 9,8)(s +,) = 4 s 9,8(s/9,8 + ),(s/, + ) = s ) ] +, s + s (s/9,8 + )(s/, + ) Az els esetben a nevez ben lev másodfokú normáltényez paraméterei Ω a = és ζ a =, (illetve a pólusjósági tényez vel kifejezve Q a = 5). A tényez hozzájárulása az amplitúdó Bode-diagramjához egy lg 5 4 db-es csúcs a törésponti frekvencián. c) Az el z höz hasonlóan, de most R = R-et választva normalizáló ellenállásnak, 4s H (s) = RH (s) =, s +,4s + 4 =, [ ( s s ) ] +, s + A továbbiakban a Bode-diagramokon a ciánszín vonalak az origóban lev pólusok és zérusok ered hozzájárulását jelentik. A fekete vonalakkal a konstans kiemelt tényez k hozzájárulását jelöljük majd. Figyeljük meg, hogy a rezonanciafrekvencián az admittancia szöge el jelet vált.

13 ..5 Im{s} = ω Im{s} = ω Re{s} = σ (a) R = Ω. Re{s} = σ (b) R = 5 kω 8. ábra. A rezg kör PZ-elrendezése k(ω)[db] ϕ(ω)[ ] ábra. A rezg kör Bode-diagramja R = Ω, R = kohm k(ω)[db] 3 ϕ(ω)[ ] ábra. A rezg kör Bode-diagramja R = 5 kω, R = kohm 3

14 9. feladat a) Határozzuk meg az alábbi, ún. áthidalt T-tagot tartalmazó hálózat által reprezentált rendszer U (s)/u (s) átviteli függvényét! A továbbiakban C = nf, C = µf, R = kω értékekkel számoljon! b) Vázoljuk a pólus-zérus elrendezést! Milyen speciális tulajdonsággal rendelkezik a rendszer? c) Vázoljuk fel az amplitúdó- és fáziskarakterisztika aszimptotikus Bode-diagramját! (PTK 3.-6) C R R u (t) C u Megoldás: a) Csomóponti potenciálokkal (operátoros impedanciákkal) Φ(s) : Φ U R + Φ U R + Φ /sc = U (s) : U U /sc + U Φ R = Φ( + src ) U U =, Φ = U + U + src U + src + U ( + src ) U src = Φ U U (src + + src U U = = U ( + src ) U src + src ) ( = U ( + src ) ( ) src + +src ( ( + src ) + +src ) = + src ) + src ( + src ) ( + src )( + src ) A megadott elemértékekkel [V, kω, ms, µf, ms, krad/s] koherens egységrendszerben RC =, ill. RC =, +,s( + s) ( +,s)( + s) =,s +,s +,s +,s + = s + s + s + s + b) [s ( + 9,95j)][s ( 9,95j)] (s +,99)(s + ) z, = ± 9,95jms, p = ms, p =,99ms. 4

15 5 Im{s} = ω Re{s} = σ. ábra. Az áthidalt T-tag átviteli függvényéhez tartozó PZ-elrendezés c) A Bode-diagram felvázolásához célszer alakra visszatérve,s +,s +,s +,s + = ( s ( ) +, s ) + + s,99 ( ) + s A számlálóban lev másodfokú normáltényez re a törésponti frekvencia Ω b =, a csillapítási tényez ζ b =, (illetve zérusjósági tényez vel kifejezve Q b = ζ b = 5). A nevez ben lev els fokú normáltényez kre a törésponti frekvenciák ω b =,99, ω b =. A számlálóbeli másodfokú tényez tényleges hozzájárulása a törésponti frekvencián log 5 = 4dB. Ezek ismeretében a közelít diagramok felvázolhatók. 4 k(ω)[db] ϕ(ω)[ ] ábra. Az áthidalt T-tag Bode-diagramja R = 5 kω 5

16 . feladat Vázoljuk fel a 4. feladatbeli rendszer amplitúdó- és fáziskarakterisztikájának Bode-diagramját ( [ω] = krad/s)! s s + 8s + 5 = s 5 [ +,8(s/5) + (s/5) ] =,8 s [ +,8 (s/5) + (s/5) ] k(ω)[db] 3 4 ϕ(ω)[ ] ábra. A 4. feladatbeli rendszer Bode-diagramja 6

17 . feladat * Vázoljuk fel az 5. feladatbeli rendszer Bode-diagramját, és a minimálfázisú+mindentátereszt dekompozícióval nyert rendszerek Bode-diagramjait! (s + )(s 3) (s + )(s + 4 j)(s j) = s s 3 s 3 + s + 36s + 4 = (s + )(s + 3) (s + )(s + 4 j)(s j) H MF (s) = s + 4s + 3 s 3 + s + 36s A mindentátereszt rendszer Bode-diagramja Ennek a rendszernek a jobb félsíkon is van egy valós zérusa. Az els fokú normáltényez k szokásos kezelése: ( ) jω (s s k ) s=jω = (jω s k ) = s k ( s k ) +. } {{ } H MF (s) s 3 s + 3 }{{} H MA (s) Ha az vizsgált pólus ill. zérus a bal félsíkra esik, akkor s k negatív. Eddig ilyen példákat láttunk. Ilyenkor az általunk használt konvenció szerint a negatív s k helyett az ellentettjét, a pozitív ω k = s k -t tekintettük törésponti frekvenciának: ( (jω s k ) = ω k + jω ), s k <. ω k Ha azonban van zérus a jobb félsíkon, akkor s k pozitív, ω k negatív (lenne). Hogy megtarthassuk a pozitív törésponti frevenciával számolást, a jobb félsíkra es zérusoknál legyen ω k = +s k, és ( (s s k ) s=jω = (jω s k ) = s k + jω ) ( = ω k + jω ) ( = ω k + jω ), s k >. ( s k ) ( ω k ) (ω k ) Eszerint a jobb félsíkon fekv zérusnál a kiemelt tényez negatív (szöge 8 fok). A normáltényez pedig az abszolútértékben egyenl, bal félsíkra es zérus hozzájárulásának konjugáltját adja. A konjugálás az amplitúdókarakterisztikát nem befolyásolja, a fáziskarakterisztikát pedig az ellentettjére változtatja, vagyis a kis frekvenciás fokról 9 fokra csökken a jobb félsíkra es zérus hozzájárulása a fázishoz. Ennek felhasználásával a mindentátereszt rész karakterisztikái: H MA (jω) = jω 3 3(jω/3 + ) (jω/3 + ) = = jω + 3 3(jω/3 + ) (jω/3 + ). A - kiemelt tényez t a fáziskarakterisztikában egy 8 fokos konstans tagként vehetjük gyelembe. diagramja alapján látszik, hogy valóban mindentátereszt a rendszer. k(ω) k(ω)[db] ϕ(ω)[ ] ω 35 7

18 .. A minimálfázisú rendszer Bode-diagramja H MF (s) = s + 4s + 3 s 3 + s + 36s + 4 = ( + s/) 3( + s/3) [ +,894 (s/4,47) + (s/4,47) ] ( + s/) ( + s/)( + s/3) H MF (s) =,75 [ +,894 (s/4,47) + (s/4,47) ]( + s/) k(ω)[db] Az eredeti rendszer Bode-diagramja ϕ(ω)[ ] k(ω)[db] Az el z ábrával való összevetéb l látható, hogy az amplitúdókarakterisztika megegyezik a minimálfázisú rendszerével, a minimálfázisú rendszer fázisa pedig valóban minimális. ϕ(ω)[ ]

FI rendszerek periodikus állandósult állapota (JR1 ismétlés)

FI rendszerek periodikus állandósult állapota (JR1 ismétlés) FI rendszerek periodikus állandósult állapota (JR ismétlés) Dr. Horváth Péter, BME HV 6. szeptember.. feladat Az ábrán látható ún. Maxwell-Wienhídkapcsolás segítségével egy veszteséges tekercs L x induktivitása

Részletesebben

Mintavételezés és FI rendszerek DI szimulációja

Mintavételezés és FI rendszerek DI szimulációja Mintavételezés és FI rendszerek DI szimulációja Dr. Horváth Péter, BME HVT 5. december.. feladat Adott az alábbi FI jel: x f (t) = cos(3t) + cos(4t), ([ω] =krad/s). Legalább mekkorára kell választani a

Részletesebben

Jelek és rendszerek - 4.előadás

Jelek és rendszerek - 4.előadás Jelek és rendszerek - 4.előadás Rendszervizsgálat a komplex frekvenciatartományban Mérnök informatika BSc (lev.) Pécsi Tudományegyetem, Pollack Mihály Műszaki Kar Műszaki Informatika és Villamos Intézet

Részletesebben

Diszkrét idej rendszerek analízise az id tartományban

Diszkrét idej rendszerek analízise az id tartományban Diszkrét idej rendszerek analízise az id tartományban Dr. Horváth Péter, BME HVT 06. október 4.. feladat Számítuk ki a DI rendszer válaszát, ha adott a gerjesztés és az impulzusválasz! u[k = 0,6 k ε[k;

Részletesebben

RENDSZERTECHNIKA 8. GYAKORLAT

RENDSZERTECHNIKA 8. GYAKORLAT RENDSZERTECHNIKA 8. GYAKORLAT ÜTEMTERV VÁLTOZÁS Gyakorlat Hét Dátum Témakör Házi feladat Egyéb 1 1. hét 02.09 Ismétlés, bevezetés Differenciálegyenletek mérnöki 2 2. hét 02.16 szemmel 1. Hf kiadás 3 3.

Részletesebben

Tartalom. Soros kompenzátor tervezése 1. Tervezési célok 2. Tervezés felnyitott hurokban 3. Elemzés zárt hurokban 4. Demonstrációs példák

Tartalom. Soros kompenzátor tervezése 1. Tervezési célok 2. Tervezés felnyitott hurokban 3. Elemzés zárt hurokban 4. Demonstrációs példák Tartalom Soros kompenzátor tervezése 1. Tervezési célok 2. Tervezés felnyitott hurokban 3. Elemzés zárt hurokban 4. Demonstrációs példák 215 1 Tervezési célok Szabályozó tervezés célja Stabilitás biztosítása

Részletesebben

ALAPFOGALMIKÉRDÉSEK VILLAMOSSÁGTANBÓL 1. EGYENÁRAM

ALAPFOGALMIKÉRDÉSEK VILLAMOSSÁGTANBÓL 1. EGYENÁRAM ALAPFOGALMIKÉRDÉSEK VILLAMOSSÁGTANBÓL INFORMATIKUS HALLGATÓK RÉSZÉRE 1. EGYENÁRAM 1. Vezesse le a feszültségosztó képletet két ellenállás (R 1 és R 2 ) esetén! Az összefüggésben szerepl mennyiségek jelölését

Részletesebben

L-transzformáltja: G(s) = L{g(t)}.

L-transzformáltja: G(s) = L{g(t)}. Tartalom 1. Stabilitáselmélet stabilitás feltételei inverz inga egyszerűsített modellje 2. Zárt, visszacsatolt rendszerek stabilitása Nyquist stabilitási kritérium Bode stabilitási kritérium 2018 1 Stabilitáselmélet

Részletesebben

Dr. Gyurcsek István. Példafeladatok. Helygörbék Bode-diagramok HELYGÖRBÉK, BODE-DIAGRAMOK DR. GYURCSEK ISTVÁN

Dr. Gyurcsek István. Példafeladatok. Helygörbék Bode-diagramok HELYGÖRBÉK, BODE-DIAGRAMOK DR. GYURCSEK ISTVÁN Dr. Gyurcsek István Példafeladatok Helygörbék Bode-diagramok 1 2016.11.11.. Helygörbe szerkesztése VIZSGÁLAT: Mi a következménye annak, ha az áramkör valamelyik jellemző paramétere változik? Helygörbe

Részletesebben

FI rendszerek analízise a komplex frekvenciatartományban

FI rendszerek analízise a komplex frekvenciatartományban FI rendszerek analízise a komplex frekvenciatartományban Dr. Horváth Péter, BME HVT 07. január 9.. feladat Vázoljuk fel az alábbi függvényeket, és határozzuk meg aplace-transzformáltjukat!.. +f t = Ae

Részletesebben

Hálózatok számítása egyenáramú és szinuszos gerjesztések esetén. Egyenáramú hálózatok vizsgálata Szinuszos áramú hálózatok vizsgálata

Hálózatok számítása egyenáramú és szinuszos gerjesztések esetén. Egyenáramú hálózatok vizsgálata Szinuszos áramú hálózatok vizsgálata Hálózatok számítása egyenáramú és szinuszos gerjesztések esetén Egyenáramú hálózatok vizsgálata Szinuszos áramú hálózatok vizsgálata Egyenáramú hálózatok vizsgálata ellenállások, generátorok, belső ellenállások

Részletesebben

Reichardt András okt. 13 nov. 8.

Reichardt András okt. 13 nov. 8. Példák és feladatok a Hálózatok és rendszerek analízise 2. tárgyhoz Reichardt András 2003. okt. 3 nov. 8. . fejezet Komplex frekvenciatartománybeli analízis Az alábbiakban a komplex frekvenciatartományban

Részletesebben

Jelek és rendszerek - 7.előadás

Jelek és rendszerek - 7.előadás Jelek és rendszerek - 7.előadás A Laplace-transzformáció és alkalmazása Mérnök informatika BSc Pécsi Tudományegyetem, Pollack Mihály Műszaki Kar Műszaki Informatika és Villamos Intézet Műszaki Informatika

Részletesebben

Folytonos rendszeregyenletek megoldása. 1. Folytonos idejű (FI) rendszeregyenlet általános alakja

Folytonos rendszeregyenletek megoldása. 1. Folytonos idejű (FI) rendszeregyenlet általános alakja Folytonos rendszeregyenletek megoldása 1. Folytonos idejű (FI) rendszeregyenlet általános alakja A folytonos rendszeregyenletek megoldásakor olyan rendszerekkel foglalkozunk, amelyeknek egyetlen u = u(t)

Részletesebben

Diszkrét idej rendszerek analízise szinuszos/periodikus állandósult állapotban

Diszkrét idej rendszerek analízise szinuszos/periodikus állandósult állapotban Diszkrét idej rendszerek analízise szinuszos/eriodikus állandósult állaotban Dr. Horváth Péter, BME HVT 6. november 4.. feladat Adjuk meg az alábbi jelfolyamhálózattal rerezentált rendszer átviteli karakterisztikáját

Részletesebben

RC tag mérési jegyz könyv

RC tag mérési jegyz könyv RC tag mérési jegyz könyv Mérést végezte: Csutak Balázs, Farkas Viktória Mérés helye és ideje: ITK 320. terem, 2016.03.09 A mérés célja: Az ELVIS próbapanel és az ELVIS m szerek használatának elsajátítása,

Részletesebben

RC tag Amplitúdó és Fáziskarakterisztikájának felvétele

RC tag Amplitúdó és Fáziskarakterisztikájának felvétele RC tag Amplitúdó és Fáziskarakterisztikájának felvétele Mérésadatgyűjtés és Jelfeldolgozás 12. ELŐADÁS Schiffer Ádám Egyetemi adjunktus Közérdekű 2008.05.09. PTE PMMK MIT 2 Közérdekű PÓTMÉRÉS: Akinek elmaradása

Részletesebben

Adatok: R B1 = 100 kω R B2 = 47 kω. R 2 = 33 kω. R E = 1,5 kω. R t = 3 kω. h 22E = 50 MΩ -1

Adatok: R B1 = 100 kω R B2 = 47 kω. R 2 = 33 kω. R E = 1,5 kω. R t = 3 kω. h 22E = 50 MΩ -1 1. feladat R B1 = 100 kω R B2 = 47 kω R C = 3 kω R E = 1,5 kω R t = 4 kω A tranzisztor paraméterei: h 21E = 180 h 22E = 30 MΩ -1 a) Számítsa ki a tranzisztor kollektor áramát, ha U CE = 6,5V, a tápfeszültség

Részletesebben

Villamosságtan szigorlati tételek

Villamosságtan szigorlati tételek Villamosságtan szigorlati tételek 1.1. Egyenáramú hálózatok alaptörvényei 1.2. Lineáris egyenáramú hálózatok elemi számítása 1.3. Nemlineáris egyenáramú hálózatok elemi számítása 1.4. Egyenáramú hálózatok

Részletesebben

1. Milyen módszerrel ábrázolhatók a váltakozó mennyiségek, és melyiknek mi az előnye?

1. Milyen módszerrel ábrázolhatók a váltakozó mennyiségek, és melyiknek mi az előnye? .. Ellenőrző kérdések megoldásai Elméleti kérdések. Milyen módszerrel ábrázolhatók a váltakozó mennyiségek, és melyiknek mi az előnye? Az ábrázolás történhet vonaldiagramban. Előnye, hogy szemléletes.

Részletesebben

Fizika A2E, 8. feladatsor

Fizika A2E, 8. feladatsor Fizika AE, 8. feladatsor ida György József vidagyorgy@gmail.com. feladat: Az ábrán látható áramkörben határozzuk meg az áramer sséget! 4 5 Utolsó módosítás: 05. április 4., 0:9 El ször ki kell számolnunk

Részletesebben

Négypólusok tárgyalása Laplace transzformációval

Négypólusok tárgyalása Laplace transzformációval Négypólusok tárgyalása Laplace transzformációval Segédlet az Elektrotechnika II. c. tantárgyhoz Összeállította: Dr. Kurutz Károly egyetemi tanár Szászi István egyetemi tanársegéd . Laplace transzformáció

Részletesebben

VI pont(45) : Közös alapképzéses záróvizsga mesterképzés felvételi vizsga. Villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar

VI pont(45) : Közös alapképzéses záróvizsga mesterképzés felvételi vizsga. Villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar Név, felvételi azonosító, Neptun-kód: VI pont(45) : Csak felvételi vizsga: csak záróvizsga: közös vizsga: Közös alapképzéses záróvizsga mesterképzés felvételi vizsga Villamosmérnöki szak BME Villamosmérnöki

Részletesebben

ELLENŐRZŐ KÉRDÉSEK. Váltakozóáramú hálózatok

ELLENŐRZŐ KÉRDÉSEK. Váltakozóáramú hálózatok ELLENŐRZŐ KÉRDÉSEK Váltakozóáramú hálózatok Háromfázisú hálózatok Miért használunk többfázisú hálózatot? Mutassa meg a háromfázisú rendszer fontosabb jellemzőit és előnyeit az egyfázisú rendszerrel szemben!

Részletesebben

M pont(30) : (ii) Adja meg az e egyenes egy olyan pontját, melynek első koordinátája 7.

M pont(30) : (ii) Adja meg az e egyenes egy olyan pontját, melynek első koordinátája 7. Név, azonosító: M pont(30) :. Az S sík egyenlete: 2x +4y +8z =4,azS 2 sík egyenlete: 2x +8y +4z =2. Legyene az az egyenes, mely párhuzamos mindkét síkkal és átmegy az (,2,3) ponton. (i) Adja meg az e egyenes

Részletesebben

Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata

Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata Fourier-sorfejtés vizsgálata Négyszögjel sorfejtése, átviteli vizsgálata Reichardt, András 27. szeptember 2. 2 / 5 NDSM Komplex alak U C k = T (T ) ahol ω = 2π T, k módusindex. Időfüggvény előállítása

Részletesebben

DINAMIKAI VIZSGÁLAT OPERÁTOROS TARTOMÁNYBAN. 2003.10.30. Dr. Aradi Petra, Dr. Niedermayer Péter: Rendszertechnika segédlet 1

DINAMIKAI VIZSGÁLAT OPERÁTOROS TARTOMÁNYBAN. 2003.10.30. Dr. Aradi Petra, Dr. Niedermayer Péter: Rendszertechnika segédlet 1 DINAMIKAI VIZSGÁLAT OPERÁTOROS TARTOMÁNYBAN 2003.10.30. Dr. Aradi Petra, Dr. Niedermayer Péter: Rendszertechnika segédlet 1 Differenciálegyenlet megoldása u(t) diff. egyenlet v(t) a n d n v m dt a dv n

Részletesebben

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika GÁSPÁR PÉTER Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika jellemzőinek Rendszerek stabilitása és minőségi jellemzői. Soros kompenzátor. Irányítástechnika Budapest, 29 2 Az

Részletesebben

17/1. Négypólusok átviteli függvényének ábrázolása. Nyquist diagram.

17/1. Négypólusok átviteli függvényének ábrázolása. Nyquist diagram. 7/. Négypólusok átviteli függvényének ábrázolása. Nyquist diagram. A szinuszos áramú hálózatok vizsgálatánál gyakran alkalmazunk különbözı komplex átviteli függvényeket. Végezzük ezt a hálózat valamilyen

Részletesebben

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika GÁSPÁR PÉTER Prof. BOKOR JÓZSEF útmutatásai alapján Rendszer és irányításelmélet Rendszerek idő és frekvencia tartományi vizsgálata Irányítástechnika Budapest, 29 2 Az előadás felépítése

Részletesebben

RC tag Amplitúdó és Fáziskarakterisztikájának felvétele

RC tag Amplitúdó és Fáziskarakterisztikájának felvétele RC tag Amplitúdó és Fáziskarakterisztikájának felvétele Mérésadatgyűjtés és Jelfeldolgozás 11. ELŐADÁS Schiffer Ádám Egyetemi adjunktus Közérdekű PÓTMÉRÉS: Akinek elmaradása van, egy mérést pótolhat a

Részletesebben

ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 5. DC MOTOROK SZABÁLYOZÁS FORDULATSZÁM- SZABÁLYOZÁS

ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 5. DC MOTOROK SZABÁLYOZÁS FORDULATSZÁM- SZABÁLYOZÁS ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 5. DC MOTOROK SZABÁLYOZÁS FORDULATSZÁM- SZABÁLYOZÁS Dr. Soumelidis Alexandros 2019.03.13. BME KÖZLEKEDÉSMÉRNÖKI ÉS JÁRMŰMÉRNÖKI KAR 32708-2/2017/INTFIN SZÁMÚ EMMI ÁLTAL TÁMOGATOTT

Részletesebben

Irányítástechnika II. előadásvázlat

Irányítástechnika II. előadásvázlat Irányítástechnika II. előadásvázlat Dr. Bokor József egyetemi tanár, az MTA rendes tagja BME Közlekedés- és Járműirányítási Tanszék 2018 1 Tartalom Irányítástechnika II. féléves tárgytematika Az irányításelmélet

Részletesebben

Határozott integrál és alkalmazásai

Határozott integrál és alkalmazásai Határozott integrál és alkalmazásai 5. május 5.. Alapfeladatok. Feladat: + d = Megoldás: Egy határozott integrál kiszámolása a feladat. Ilyenkor a Newton-Leibniz-tételt használhatjuk, mely azt mondja ki,

Részletesebben

M pont(30) : (ii) Adja meg az e egyenes egy olyan pontját, melynek első koordinátája 7.

M pont(30) : (ii) Adja meg az e egyenes egy olyan pontját, melynek első koordinátája 7. M pont(30) :. Az S sík egyenlete: 2x +4y +8z =4,azS 2 sík egyenlete: 2x +8y +4z =2. Legyene az az egyenes, mely párhuzamos mindkét síkkal és átmegy az (,2,3) ponton. (i) Adja meg az e egyenes egy olyan

Részletesebben

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel és módszerekkel történik. A feldolgozás előtt az analóg jeleket digitalizálni kell.

Részletesebben

Segédlet a gyakorlati tananyaghoz GEVAU141B, GEVAU188B c. tantárgyakból

Segédlet a gyakorlati tananyaghoz GEVAU141B, GEVAU188B c. tantárgyakból Segédlet a gyakorlati tananyaghoz GEVAU141B, GEVAU188B c. tantárgyakból 1 Átviteli tényező számítása: Lineáris rendszer: Pl1.: Egy villanymotor 100V-os bemenő jelre 1000 fordulat/perc kimenő jelet ad.

Részletesebben

Abszolútértékes egyenlôtlenségek

Abszolútértékes egyenlôtlenségek Abszolútértékes egyenlôtlenségek 575. a) $, $ ; b) < - vagy $, # - vagy > 4. 5 576. a) =, =- 6, 5 =, =-, 7 =, 4 = 5; b) nincs megoldás;! c), = - ; d) =-. Abszolútértékes egyenlôtlenségek 577. a) - # #,

Részletesebben

25/1. Stacionárius és tranziens megoldás. Kezdeti és végérték tétel.

25/1. Stacionárius és tranziens megoldás. Kezdeti és végérték tétel. 25/1. Stacionárius és tranziens megoldás. Kezdeti és végérték tétel. A gerjesztı jelek hálózatba történı be- vagy kikapcsolása után átmeneti (tranziens) jelenség játszódik le. Az állandósult (stacionárius)

Részletesebben

Számítógépes gyakorlat MATLAB, Control System Toolbox

Számítógépes gyakorlat MATLAB, Control System Toolbox Számítógépes gyakorlat MATLAB, Control System Toolbox Bevezetés A gyakorlatok célja az irányítási rendszerek korszerű számítógépes vizsgálati és tervezési módszereinek bemutatása, az alkalmazáshoz szükséges

Részletesebben

6. Függvények. 1. Az alábbi függvények közül melyik szigorúan monoton növekvő a 0;1 intervallumban?

6. Függvények. 1. Az alábbi függvények közül melyik szigorúan monoton növekvő a 0;1 intervallumban? 6. Függvények I. Nulladik ZH-ban láttuk: 1. Az alábbi függvények közül melyik szigorúan monoton növekvő a 0;1 intervallumban? f x g x cos x h x x ( ) sin x (A) Az f és a h. (B) Mindhárom. (C) Csak az f.

Részletesebben

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar V Név, azonosító: pont(90): Felvételi vizsga Mesterképzés, villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar 2009. június 8. MEGOLDÁSOK A dolgozat minden lapjára, a kerettel jelölt részre írja

Részletesebben

Villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar

Villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar VI Név, felvételi azonosító, Neptun-kód: pont(90): Csak felvételi vizsga: csak záróvizsga: közös vizsga: Közös alapképzéses záróvizsga mesterképzés felvételi vizsga Villamosmérnöki szak BME Villamosmérnöki

Részletesebben

Alaptagok Nyquist- és Bode-diagramjai

Alaptagok Nyquist- és Bode-diagramjai C Alaptagok Nyquist- és Bode-diagramjai C.1. Bevezetés - Átviteli függvény, frekvenciafüggvény Dinamikus rendszerek leírásának egyik módja az átviteli függvények segítségével történik. Az átviteli függvényeket

Részletesebben

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján

Irányítástechnika GÁSPÁR PÉTER. Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika GÁSPÁR PÉTER Prof. BOKOR JÓZSEF útmutatásai alapján Irányítástechnika a Alapfogalmak, modellezési elvek. Irányítástechnika Budapest, 2009 2 Az előadás szerkezete a 1. 2. módszerei 3.

Részletesebben

Megoldott feladatok november 30. n+3 szigorúan monoton csökken, 5. n+3. lim a n = lim. n+3 = 2n+3 n+4 2n+1

Megoldott feladatok november 30. n+3 szigorúan monoton csökken, 5. n+3. lim a n = lim. n+3 = 2n+3 n+4 2n+1 Megoldott feladatok 00. november 0.. Feladat: Vizsgáljuk az a n = n+ n+ sorozat monotonitását, korlátosságát és konvergenciáját. Konvergencia esetén számítsuk ki a határértéket! : a n = n+ n+ = n+ n+ =

Részletesebben

Alaptagok Nyquist és Bode diagramjai

Alaptagok Nyquist és Bode diagramjai Alaptagok Nyquist és Bode diagramjai Luspay Tamás, Bauer Péter BME Közlekedésautomatikai Tanszék 212. január 1. 1. Bevezetés - Átviteli függvény, frekvenciafüggvény Dinamikus rendszerek leírásának egyik

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

SZABÁLYOZÁSI KÖRÖK 2.

SZABÁLYOZÁSI KÖRÖK 2. Irányítástechnika (BMEGERIA35I) SZABÁLYOZÁSI KÖRÖK 2. 2010/11/1. félév Dr. Aradi Petra Zárt szabályozási körrel szemben támasztott követelmények tulajdonság időtartományban frekvenciatartományban pontosság

Részletesebben

Az okság elvének látszólagos sérülése időfüggetlen lineáris rendszerben

Az okság elvének látszólagos sérülése időfüggetlen lineáris rendszerben Szegedi Tudományegyetem Optikai és Kvantumelektronikai Tanszék Az okság elvének látszólagos sérülése időfüggetlen lineáris rendszerben Szakdolgozat készítette: Ihász Ildikó V. éves matematika-fizika szakos

Részletesebben

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata 2017.09.18. A legalapvetőbb áramkörök ellenállásokat, kondenzátorokat és indukciós tekercseket tartalmazó áramkörök. A fenti elemekből

Részletesebben

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar június 8.

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar június 8. Név, azonosító: V pont(90) : Felvételi vizsga Mesterképzés, villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar 2009. június 8. A dolgozat minden lapjára, a kerettel jelölt részre írja fel nevét,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2008. október 20. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2008. október 20. 1:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS

Részletesebben

Átmeneti jelenségek egyenergiatárolós áramkörökben

Átmeneti jelenségek egyenergiatárolós áramkörökben TARTALOM JEGYZÉK 1. Egyenergiatárolós áramkörök átmeneti függvényeinek meghatározása Példák az egyenergiatárolós áramkörök átmeneti függvényeinek meghatározására 1.1 feladat 1.2 feladat 1.3 feladat 1.4

Részletesebben

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ I. feladatlap Egyszerű, rövid feladatok megoldása Maximális pontszám: 40. feladat 4 pont

Részletesebben

Számítási feladatok megoldással a 6. fejezethez

Számítási feladatok megoldással a 6. fejezethez Számítási feladatok megoldással a 6. fejezethez. Egy szinuszosan változó áram a polaritás váltás után μs múlva éri el első maximumát. Mekkora az áram frekvenciája? T = 4 t = 4 = 4ms 6 f = = =,5 Hz = 5

Részletesebben

3.3. A feszültség-munkadiagram

3.3. A feszültség-munkadiagram 3.3. A feszültség-munkadiagram Eddig csak olyan eseteket vizsgáltunk, amelyeknél az áramkörre ideális feszültségforrást kapcsoltunk (kapocsfeszültsége a terhelés hatására nem változik), és a kör eredő

Részletesebben

Mátrix-exponens, Laplace transzformáció

Mátrix-exponens, Laplace transzformáció 2016. április 4. 2016. április 11. LINEÁRIS DIFFERENCIÁLEGYENLET RENDSZEREK ÉS A MÁTRIX-EXPONENS KAPCSOLATA Feladat - ismétlés Tegyük fel, hogy A(t) = (a ik (t)), i, k = 1,..., n és b(t) folytonos mátrix-függvények

Részletesebben

Gibbs-jelenség viselkedésének vizsgálata egyszer négyszögjel esetén

Gibbs-jelenség viselkedésének vizsgálata egyszer négyszögjel esetén Matematikai modellek, I. kisprojekt Gibbs-jelenség viselkedésének vizsgálata egyszer négyszögjel esetén Unger amás István B.Sc. szakos matematikus hallgató ungert@maxwell.sze.hu, http://maxwell.sze.hu/~ungert

Részletesebben

Jelek és rendszerek 1. 10/9/2011 Dr. Buchman Attila Informatikai Rendszerek és Hálózatok Tanszék

Jelek és rendszerek 1. 10/9/2011 Dr. Buchman Attila Informatikai Rendszerek és Hálózatok Tanszék Jelek és rendszerek 1 10/9/2011 Dr. Buchman Attila Informatikai Rendszerek és Hálózatok Tanszék 1 Ajánlott irodalom: FODOR GYÖRGY : JELEK ÉS RENDSZEREK EGYETEMI TANKÖNYV Műegyetemi Kiadó, Budapest, 2006

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2015. október 12. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2015. október 12. 14:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

1. Fejezet. Visszacsatolt erősítők. Elektronika 2 (BMEVIMIA027)

1. Fejezet. Visszacsatolt erősítők. Elektronika 2 (BMEVIMIA027) Elektronika (MEVIMI07) Fejezet Visszacsatolt erősítők visszacsatolás célja: az erősítő paramétereinek igények szerinti megváltoztatása visszacsatolás elve (a J jel : vagy feszültség, vagy áram): J ki =

Részletesebben

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata 2017.03.02. A legalapvetőbb áramkörök ellenállásokat, kondenzátorokat és indukciós tekercseket tartalmazó áramkörök. A fenti elemekből

Részletesebben

Elektromosságtan. III. Szinuszos áramú hálózatok. Magyar Attila

Elektromosságtan. III. Szinuszos áramú hálózatok. Magyar Attila Eletromosságtan III. Szinuszos áramú hálózato Magyar Attila Pannon Egyetem Műszai Informatia Kar Villamosmérnöi és Információs Rendszere Tanszé amagyar@almos.vein.hu 2010. április 26. Átteintés Szinuszosan

Részletesebben

Számítógép-vezérelt szabályozás- és irányításelmélet

Számítógép-vezérelt szabályozás- és irányításelmélet Számítógép-vezérelt szabályozás- és irányításelmélet 2. gyakorlat Feladattípusok két függvény konvolúciója ÿ + aẏ + by = e at, y(), ẏ() típusú kezdetiérték feladatok megoldása (Laplace transzformációval)

Részletesebben

Elektronika Oszcillátorok

Elektronika Oszcillátorok 8. Az oszcillátorok periodikus jelet előállító jelforrások, generátorok. Olyan áramkörök, amelyeknek csak kimenete van, bemenete nincs. Leggyakoribb jelalakok: - négyszög - szinusz A jelgenerálás alapja

Részletesebben

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar

Felvételi vizsga. BME Villamosmérnöki és Informatikai Kar Név, azonosító: pont(90): Felvételi vizsga Mesterképzés, villamosmérnöki szak BME Villamosmérnöki és Informatikai Kar 2009. január 5. A dolgozat minden lapjára, a kerettel jelölt részre írja fel nevét,

Részletesebben

4. Laplace transzformáció és alkalmazása

4. Laplace transzformáció és alkalmazása 4. Laplace transzformáció és alkalmazása 4.1. Laplace transzformált és tulajdonságai Differenciálegyenletek egy csoportja algebrai egyenletté alakítható. Ennek egyik eszköze a Laplace transzformáció. Definíció:

Részletesebben

Bevezetés a méréstechinkába, és jelfeldologzásba jegyzőkönyv

Bevezetés a méréstechinkába, és jelfeldologzásba jegyzőkönyv Bevezetés a méréstechinkába, és jelfeldologzásba jegyzőkönyv Lódi Péter(D1WBA1) 2015 Március 18. Bevezetés: Mérés helye: PPKE-ITK 3. emeleti 321-es Mérőlabor Mérés ideje: 2015.03.25. 13:15-16:00 Mérés

Részletesebben

1 kérdés. Személyes kezdőlap Villamos Gelencsér Géza Simonyi teszt május 13. szombat Teszt feladatok 2017 Előzetes megtekintés

1 kérdés. Személyes kezdőlap Villamos Gelencsér Géza Simonyi teszt május 13. szombat Teszt feladatok 2017 Előzetes megtekintés Személyes kezdőlap Villamos Gelencsér Géza Simonyi teszt 2017. május 13. szombat Teszt feladatok 2017 Előzetes megtekintés Kezdés ideje 2017. május 9., kedd, 16:54 Állapot Befejezte Befejezés dátuma 2017.

Részletesebben

Bevezetés a méréstechnikába és jelfeldolgozásba 7. mérés RC tag Bartha András, Dobránszky Márk

Bevezetés a méréstechnikába és jelfeldolgozásba 7. mérés RC tag Bartha András, Dobránszky Márk Bevezetés a méréstechnikába és jelfeldolgozásba 7. mérés 2015.05.13. RC tag Bartha András, Dobránszky Márk 1. Tanulmányozza át az ELVIS rendszer rövid leírását! Áttanulmányoztuk. 2. Húzzon a tartóból két

Részletesebben

Jelek és rendszerek - 12.előadás

Jelek és rendszerek - 12.előadás Jelek és rendszerek - 12.előadás A Z-transzformáció és alkalmazása Mérnök informatika BSc Pécsi Tudományegyetem, Pollack Mihály Műszaki Kar Műszaki Informatika és Villamos Intézet Műszaki Informatika Tanszék

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2007. május 25. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2007. május 25. 8:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

Gyakorlat 34A-25. kapcsolunk. Mekkora a fűtőtest teljesítménye? I o = U o R = 156 V = 1, 56 A (3.1) ezekkel a pillanatnyi értékek:

Gyakorlat 34A-25. kapcsolunk. Mekkora a fűtőtest teljesítménye? I o = U o R = 156 V = 1, 56 A (3.1) ezekkel a pillanatnyi értékek: 3. Gyakorlat 34-5 Egy Ω ellenállású elektromos fűtőtestre 56 V amplitúdójú váltakozó feszültséget kapcsolunk. Mekkora a fűtőtest teljesítménye? Jelölések: R = Ω, U o = 56 V fűtőtestben folyó áram amplitudója

Részletesebben

1. Analizis (A1) gyakorló feladatok megoldása

1. Analizis (A1) gyakorló feladatok megoldása Tartalomjegyzék. Analizis A) gyakorló feladatok megoldása.................... Egyenl tlenségek, matematikai indukció, számtani-mértani közép....... Számsorozatok............................... 5... Számorozatok................................

Részletesebben

SZINUSZOS ÁRAMÚ HÁLÓZATOK Számítási feladatok

SZINUSZOS ÁRAMÚ HÁLÓZATOK Számítási feladatok DR. GYURCSEK ISTVÁN SZINUSZOS ÁRAMÚ HÁLÓZATOK Számítási feladatok Forrás és ajánlott irodalom q Iványi A. Hardverek villamosságtani alapjai, Pollack Press, Pécs 2015, ISBN 978-963-7298-59-2 q Gyurcsek

Részletesebben

a) Valódi tekercs b) Kondenzátor c) Ohmos ellenállás d) RLC vegyes kapcsolása

a) Valódi tekercs b) Kondenzátor c) Ohmos ellenállás d) RLC vegyes kapcsolása Bolyai Farkas Országos Fizika Tantárgyverseny 2016 Bolyai Farkas Elméleti Líceum, Marosvásárhely XI. Osztály 1. Adott egy alap áramköri elemen a feszültség u=220sin(314t-30 0 )V és az áramerősség i=2sin(314t-30

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2009. május 22. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KLTRÁLIS

Részletesebben

1. feladat R 1 = 2 W R 2 = 3 W R 3 = 5 W R t1 = 10 W R t2 = 20 W U 1 =200 V U 2 =150 V. Megoldás. R t1 R 3 R 1. R t2 R 2

1. feladat R 1 = 2 W R 2 = 3 W R 3 = 5 W R t1 = 10 W R t2 = 20 W U 1 =200 V U 2 =150 V. Megoldás. R t1 R 3 R 1. R t2 R 2 1. feladat = 2 W R 2 = 3 W R 3 = 5 W R t1 = 10 W R t2 = 20 W U 1 =200 V U 2 =150 V U 1 R 2 R 3 R t1 R t2 U 2 R 2 a. Számítsd ki az R t1 és R t2 ellenállásokon a feszültségeket! b. Mekkora legyen az U 2

Részletesebben

Automatizált frekvenciaátviteli mérőrendszer

Automatizált frekvenciaátviteli mérőrendszer Rendszertechnikai átviteli karakterisztika számítógépes mérése Automatizált frekvenciaátviteli mérőrendszer Samu Krisztián, BME-FOT megvalósítása Labview fejlesztőkörnyezetben Gyakori műszaki feladat,

Részletesebben

Digitális jelfeldolgozás

Digitális jelfeldolgozás Digitális jelfeldolgozás Mintavételezés és jel-rekonstrukció Magyar Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék magyar.attila@virt.uni-pannon.hu 2010.

Részletesebben

IV. INTEGRÁLSZÁMÍTÁS Megoldások november

IV. INTEGRÁLSZÁMÍTÁS Megoldások november IV. INTEGRÁLSZÁMÍTÁS Megoldások 009. november Határozatlan integrálás.05. + C + C.06. + C + C.07. ( ( 5 5 + C.08. ( ( + 5 5 + + C.09. + ( + ln + + C.. ( + ( + ( + 5 5 + + C.. + ( + ( + ( + + ( + ( + +

Részletesebben

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

AUTOMATIKAI ÉS ELEKTRONIKAI ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ ATOMATKA ÉS ELEKTONKA SMEETEK KÖZÉPSZNTŰ ÍÁSBEL VZSGA JAVÍTÁS-ÉTÉKELÉS ÚTMTATÓ A MNTAFELADATOKHOZ Egyszerű, rövid feladatok Maximális pontszám: 40. Egy A=,5 mm keresztmetszetű alumínium (ρ= 0,08 Ω mm /m)

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2014. október 13. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2014. október 13. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

Elektronika zöldfülűeknek

Elektronika zöldfülűeknek Ha hibát találsz, jelezd itt: Elektronika zöldfülűeknek R I = 0 Szakadás, olyan mintha kiradíroznánk az ellenállást vezetékekkel együtt. A feszültség nem feltétlen ugyanakkora a két oldalon. Üresjárat,

Részletesebben

VIK A1 Matematika BOSCH, Hatvan, 5. Gyakorlati anyag

VIK A1 Matematika BOSCH, Hatvan, 5. Gyakorlati anyag VIK A1 Matematika BOSCH, Hatvan, 5. Gyakorlati anyag 2018/19 1. félév Függvények határértéke 1. Bizonyítsuk be definíció alapján a következőket! (a) lim x 2 3x+1 5x+4 = 1 2 (b) lim x 4 x 16 x 2 4x = 2

Részletesebben

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata

Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata Elektronika II laboratórium 1. mérés: R L C négypólusok vizsgálata A legalapvetőbb áramkörök ellenállásokat, kondenzátorokat és indukciós tekercseket tartalmazó áramkörök. A fenti elemekből álló hálózatok

Részletesebben

Gépészeti rendszertechnika (NGB_KV002_1)

Gépészeti rendszertechnika (NGB_KV002_1) Gépészeti rendszertechnika (NGB_KV002_1) 5. Óra Kőrös Péter Közúti és Vasúti Járművek Tanszék Tanszéki mérnök (IS201 vagy a tanszéken) E-mail: korosp@ga.sze.hu Web: http://www.sze.hu/~korosp http://www.sze.hu/~korosp/gepeszeti_rendszertechnika/

Részletesebben

Függvények határértéke, folytonossága

Függvények határértéke, folytonossága Függvények határértéke, folytonossága 25. február 22.. Alapfeladatok. Feladat: Határozzuk meg az f() = 23 4 5 3 + 9 a végtelenben és a mínusz végtelenben! függvény határértékét Megoldás: Vizsgáljuk el

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk váltakozó-áramú alkalmazásai. Elmélet Az integrált mûveleti erõsítõk váltakozó áramú viselkedését a. fejezetben (jegyzet és prezentáció)

Részletesebben

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján.

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. Szakképesítés, azonosító száma és megnevezése 54 523 02 Elektronikai technikus

Részletesebben

Függvények Megoldások

Függvények Megoldások Függvények Megoldások ) Az ábrán egy ; intervallumon értelmezett függvény grafikonja látható. Válassza ki a felsoroltakból a függvény hozzárendelési szabályát! a) x x b) x x + c) x ( x + ) b) Az x függvény

Részletesebben

MÉRÉSI JEGYZŐKÖNYV. Felhasznált eszközök. Mérési feladatok

MÉRÉSI JEGYZŐKÖNYV. Felhasznált eszközök. Mérési feladatok MÉRÉSI JEGYZŐKÖNYV A mérés tárgya: Tranzisztoros erősítő alapkapcsolások vizsgálata (5. mérés) A mérés időpontja: 2004. 03. 08 de A mérés helyszíne: BME, labor: I.B. 413 A mérést végzik: Belso Zoltan KARL48

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

Kalkulus S af ar Orsolya F uggv enyek S af ar Orsolya Kalkulus

Kalkulus S af ar Orsolya F uggv enyek S af ar Orsolya Kalkulus Függvények Mi a függvény? A függvény egy hozzárendelési szabály. Egy valós függvény a valós számokhoz, esetleg egy részükhöz rendel hozzá pontosan egy valós számot valamilyen szabály (nem feltétlen képlet)

Részletesebben

4. Konzultáció: Periodikus jelek soros RC és RL tagokon, komplex ellenállás Részlet (nagyon béta)

4. Konzultáció: Periodikus jelek soros RC és RL tagokon, komplex ellenállás Részlet (nagyon béta) 4. Konzultáció: Periodikus jelek soros és tagokon, komplex ellenállás észlet (nagyon béta) "Elektrós"-Zoli 203. november 3. A jegyzetről Jelen jegyzet a negyedik konzultációm anyagának egy részletét tartalmazza.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2015. május 19. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2015. május 19. 8:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

3. Fékezett ingamozgás

3. Fékezett ingamozgás 3. Fékezett ingamozgás A valóságban mindig jelen van valamilyen csillapítás. A gázban vagy folyadékban való mozgásnál, kis sebesség esetén a csillapítás arányos a sebességgel. Ha az vagy az ''+k sin =0,

Részletesebben

1. Folytonosság. 1. (A) Igaz-e, hogy ha D(f) = R, f folytonos és periodikus, akkor f korlátos és van maximuma és minimuma?

1. Folytonosság. 1. (A) Igaz-e, hogy ha D(f) = R, f folytonos és periodikus, akkor f korlátos és van maximuma és minimuma? . Folytonosság. (A) Igaz-e, hogy ha D(f) = R, f folytonos és periodikus, akkor f korlátos és van maimuma és minimuma?. (A) Tudunk példát adni olyan függvényekre, melyek megegyeznek inverzükkel? Ha igen,

Részletesebben

Függvényhatárérték és folytonosság

Függvényhatárérték és folytonosság 8. fejezet Függvényhatárérték és folytonosság Valós függvények és szemléltetésük D 8. n-változós valós függvényen (n N + ) olyan f függvényt értünk amelynek értelmezési tartománya (Dom f ) az R n halmaznak

Részletesebben